Flat profile: Each sample counts as 0.01 seconds. % cumulative self self total time seconds seconds calls s/call s/call name 94.58 113.35 113.35 18393 0.01 0.01 sevenzip_kdf 5.20 119.58 6.23 1 6.23 6.23 sig_handle_abort 0.06 119.65 0.07 154134 0.00 0.00 CRC32_Update 0.03 119.69 0.04 16499 0.00 0.00 cfg_get_section 0.03 119.72 0.03 154135 0.00 0.00 CRC32_Init 0.03 119.75 0.03 1 0.03 113.53 do_wordlist_crack 0.02 119.77 0.02 193184 0.00 0.00 rules_apply 0.01 119.78 0.01 144695 0.00 0.00 enc_to_utf16 0.01 119.79 0.01 19272 0.00 0.01 crypt_all 0.01 119.80 0.01 19267 0.00 0.01 crk_password_loop 0.01 119.81 0.01 19267 0.00 0.00 fix_state 0.01 119.82 0.01 807 0.00 0.00 crk_process_event 0.01 119.83 0.01 1 0.01 0.01 Convert.constprop.4 0.01 119.84 0.01 utf8_to_utf16 0.00 119.84 0.00 155402 0.00 0.00 rules_cp_to_utf8 0.00 119.84 0.00 154159 0.00 0.00 sevenzip_set_key 0.00 119.84 0.00 154136 0.00 0.00 crk_process_key 0.00 119.84 0.00 154134 0.00 0.00 CRC32_Final 0.00 119.84 0.00 38532 0.00 0.00 add32to64 0.00 119.84 0.00 37260 0.00 0.00 mem_alloc_tiny_func 0.00 119.84 0.00 24172 0.00 0.00 strnfcpy 0.00 119.84 0.00 23204 0.00 0.00 str_alloc_copy_func 0.00 119.84 0.00 19410 0.00 0.00 mul32by32 0.00 119.84 0.00 19272 0.00 0.00 cmp_all 0.00 119.84 0.00 19271 0.00 0.00 fmt_default_clear_keys 0.00 119.84 0.00 19267 0.00 0.00 crk_dummy_set_salt 0.00 119.84 0.00 19267 0.00 0.01 crk_salt_loop 0.00 119.84 0.00 19267 0.00 0.00 idle_yield 0.00 119.84 0.00 19266 0.00 0.00 add64to64 0.00 119.84 0.00 19266 0.00 0.00 status_update_crypts 0.00 119.84 0.00 15949 0.00 0.00 cfg_get_list 0.00 119.84 0.00 13256 0.00 0.00 trim 0.00 119.84 0.00 12626 0.00 0.00 fgetl 0.00 119.84 0.00 12433 0.00 0.00 cfg_add_line 0.00 119.84 0.00 10000 0.00 0.00 dynamic_IS_VALID 0.00 119.84 0.00 8053 0.00 0.00 dynamic_LOAD_PARSER_SIGNATURE 0.00 119.84 0.00 8000 0.00 0.00 dynamic_IS_PARSER_VALID 0.00 119.84 0.00 3211 0.00 0.00 tty_getchar 0.00 119.84 0.00 1622 0.00 0.00 path_expand 0.00 119.84 0.00 1503 0.00 0.00 strnzcpy 0.00 119.84 0.00 1379 0.00 0.00 isSHA2_512Func 0.00 119.84 0.00 1350 0.00 0.00 rules_reject 0.00 119.84 0.00 1267 0.00 0.00 isMD4Func 0.00 119.84 0.00 1262 0.00 0.00 isSHA1Func 0.00 119.84 0.00 1223 0.00 0.00 isSHA2_256Func 0.00 119.84 0.00 1196 0.00 0.00 rpp_next 0.00 119.84 0.00 1080 0.00 0.00 rpp_add_char 0.00 119.84 0.00 802 0.00 0.00 sig_install 0.00 119.84 0.00 798 0.00 0.00 dynamic_LOAD_PARSER_FUNCTIONS_LoadLINE 0.00 119.84 0.00 529 0.00 0.00 strlwr 0.00 119.84 0.00 510 0.00 0.00 convert_old_name_if_needed 0.00 119.84 0.00 502 0.00 0.00 strtokm 0.00 119.84 0.00 439 0.00 0.00 cfg_get_param 0.00 119.84 0.00 408 0.00 0.00 cfg_get_bool 0.00 119.84 0.00 384 0.00 0.00 fmt_register 0.00 119.84 0.00 384 0.00 0.00 john_register_one 0.00 119.84 0.00 318 0.00 0.00 Count_Items 0.00 119.84 0.00 258 0.00 0.00 cfg_merge_local_section 0.00 119.84 0.00 196 0.00 0.00 cfg_add_param 0.00 119.84 0.00 188 0.00 0.00 LoadOneFormat 0.00 119.84 0.00 187 0.00 0.00 dynamic_SETUP 0.00 119.84 0.00 171 0.00 0.00 dynamic_Demangle 0.00 119.84 0.00 165 0.00 0.00 fmt_default_prepare 0.00 119.84 0.00 156 0.00 0.00 rules_normalize_add_line 0.00 119.84 0.00 135 0.00 0.00 dynamic_RESERVED_PRELOAD_SETUP 0.00 119.84 0.00 135 0.00 0.00 status_get_time 0.00 119.84 0.00 125 0.00 0.00 valid 0.00 119.84 0.00 118 0.00 0.00 log_file_flush 0.00 119.84 0.00 118 0.00 0.00 log_file_fsync 0.00 119.84 0.00 114 0.00 0.00 cp_id2name 0.00 119.84 0.00 110 0.00 0.00 mem_alloc_func 0.00 119.84 0.00 103 0.00 0.00 prepare 0.00 119.84 0.00 74 0.00 0.00 log_event 0.00 119.84 0.00 73 0.00 0.00 log_file_write 0.00 119.84 0.00 73 0.00 0.00 log_time 0.00 119.84 0.00 72 0.00 0.00 mul64by32 0.00 119.84 0.00 64 0.00 0.00 MEMDBG_off_free 0.00 119.84 0.00 63 0.00 0.00 get_progress 0.00 119.84 0.00 58 0.00 0.00 log_flush 0.00 119.84 0.00 57 0.00 0.00 rec_save 0.00 119.84 0.00 57 0.00 0.00 write_loop 0.00 119.84 0.00 55 0.00 0.00 mem_calloc_tiny_func 0.00 119.84 0.00 55 0.00 0.00 save_state 0.00 119.84 0.00 54 0.00 0.00 crk_reload_pot 0.00 119.84 0.00 53 0.00 0.00 dynamic_LOAD_PARSER_FUNCTIONS 0.00 119.84 0.00 48 0.00 0.00 div64by32lo 0.00 119.84 0.00 33 0.00 0.00 atou 0.00 119.84 0.00 32 0.00 0.00 status_get_cps 0.00 119.84 0.00 30 0.00 0.00 get_key 0.00 119.84 0.00 25 0.00 0.00 get_salt 0.00 119.84 0.00 24 0.00 0.00 div64by32 0.00 119.84 0.00 18 0.00 0.00 longcand 0.00 119.84 0.00 18 0.00 0.00 rules_init_class 0.00 119.84 0.00 12 0.00 0.00 dyna_salt_remove_fp 0.00 119.84 0.00 12 0.00 0.00 text_in_dynamic_format_already 0.00 119.84 0.00 11 0.00 0.00 dynamic_Get_fmt_main 0.00 119.84 0.00 11 0.00 0.00 dynamic_THIN_FORMAT_LINK 0.00 119.84 0.00 11 0.00 0.00 valid 0.00 119.84 0.00 10 0.00 0.00 ldr_get_field 0.00 119.84 0.00 8 0.00 0.00 crk_get_key1 0.00 119.84 0.00 8 0.00 0.00 crk_get_key2 0.00 119.84 0.00 8 0.00 0.00 fmt_default_split 0.00 119.84 0.00 8 0.00 0.00 isdec 0.00 119.84 0.00 8 0.00 0.00 isdecu 0.00 119.84 0.00 8 0.00 0.00 ishex 0.00 119.84 0.00 8 0.00 0.00 list_init 0.00 119.84 0.00 8 0.00 0.00 set_salt 0.00 119.84 0.00 8 0.00 0.00 signal_children 0.00 119.84 0.00 8 0.00 0.00 status_get_ETA 0.00 119.84 0.00 8 0.00 0.00 status_print 0.00 119.84 0.00 8 0.00 0.00 status_print_cracking 0.00 119.84 0.00 7 0.00 0.00 fmt_default_binary 0.00 119.84 0.00 7 0.00 0.00 fmt_default_binary_hash 0.00 119.84 0.00 7 0.00 0.00 fmt_default_salt_hash 0.00 119.84 0.00 7 0.00 0.00 rules_init_conv 0.00 119.84 0.00 7 0.00 0.00 strlen16 0.00 119.84 0.00 6 0.00 0.00 alloc_binary 0.00 119.84 0.00 6 0.00 0.00 cmp_exact 0.00 119.84 0.00 6 0.00 0.00 cmp_one 0.00 119.84 0.00 6 0.00 0.00 dyna_salt_cmp 0.00 119.84 0.00 6 0.00 0.00 fmt_default_get_hash 0.00 119.84 0.00 6 0.00 0.00 fmt_default_source 0.00 119.84 0.00 5 0.00 0.00 clk_tck_init 0.00 119.84 0.00 4 0.00 0.00 base64_valid_length 0.00 119.84 0.00 4 0.00 0.00 fmt_init 0.00 119.84 0.00 4 0.00 0.00 log_file_done 0.00 119.84 0.00 4 0.00 0.00 rec_done 0.00 119.84 0.00 4 0.00 0.00 status_init 0.00 119.84 0.00 3 0.00 0.00 RemoveHEX 0.00 119.84 0.00 3 0.00 0.00 cfg_get_int 0.00 119.84 0.00 3 0.00 0.00 check_abort 0.00 119.84 0.00 3 0.00 0.00 cp_name2id 0.00 119.84 0.00 3 0.00 0.00 dyna_salt_init 0.00 119.84 0.00 3 0.00 0.01 fmt_self_test 0.00 119.84 0.00 3 0.00 0.00 idle_requested 0.00 119.84 0.00 3 0.00 0.00 mem_alloc_copy_func 0.00 119.84 0.00 3 0.00 0.00 userclass_expand 0.00 119.84 0.00 3 0.00 0.00 valid 0.00 119.84 0.00 2 0.00 0.00 base64_convert 0.00 119.84 0.00 2 0.00 0.00 cfg_init 0.00 119.84 0.00 2 0.00 0.00 chap_valid_long 0.00 119.84 0.00 2 0.00 0.00 common_init 0.00 119.84 0.00 2 0.00 0.01 crk_init 0.00 119.84 0.00 2 0.00 0.00 crk_init_salt 0.00 119.84 0.00 2 0.00 0.00 crypt_128 0.00 119.84 0.00 2 0.00 0.00 crypt_128 0.00 119.84 0.00 2 0.00 0.00 crypt_128_4 0.00 119.84 0.00 2 0.00 0.00 crypt_512 0.00 119.84 0.00 2 0.00 0.00 enc_strupper 0.00 119.84 0.00 2 0.00 0.00 enc_uc 0.00 119.84 0.00 2 0.00 0.00 fmt_default_reset 0.00 119.84 0.00 2 0.00 0.00 get_progress 0.00 119.84 0.00 2 0.00 0.00 idle_init 0.00 119.84 0.00 2 0.00 0.00 initUnicode 0.00 119.84 0.00 2 0.00 0.00 john_loaded_counts 0.00 119.84 0.00 2 0.00 0.00 ldr_show_pot_line 0.00 119.84 0.00 2 0.00 0.00 log_done 0.00 119.84 0.00 2 0.00 0.00 log_file_init.constprop.1 0.00 119.84 0.00 2 0.00 0.00 log_init 0.00 119.84 0.00 2 0.00 0.00 mem_calloc_func 0.00 119.84 0.00 2 0.00 0.00 path_done 0.00 119.84 0.00 2 0.00 0.00 prepare 0.00 119.84 0.00 2 0.00 0.00 prepare 0.00 119.84 0.00 2 0.00 0.00 rec_init 0.00 119.84 0.00 2 0.00 0.00 rec_lock 0.00 119.84 0.00 2 0.00 0.00 rec_restore_mode 0.00 119.84 0.00 2 0.00 0.00 rpp_init 0.00 119.84 0.00 2 0.00 0.00 rules_check 0.00 119.84 0.00 2 0.00 0.00 rules_count 0.00 119.84 0.00 2 0.00 0.00 rules_init 0.00 119.84 0.00 2 0.00 0.00 rules_remove_dups 0.00 119.84 0.00 2 0.00 0.00 save_state 0.00 119.84 0.00 2 0.00 0.00 sig_install_abort 0.00 119.84 0.00 2 0.00 0.00 single_alloc_keys 0.00 119.84 0.00 2 0.00 0.00 tty_done 0.00 119.84 0.00 2 0.00 0.00 valid 0.00 119.84 0.00 2 0.00 0.00 valid 0.00 119.84 0.00 2 0.00 0.00 valid 0.00 119.84 0.00 2 0.00 0.01 valid 0.00 119.84 0.00 2 0.00 0.00 valid 0.00 119.84 0.00 2 0.00 0.00 valid 0.00 119.84 0.00 2 0.00 0.00 valid 0.00 119.84 0.00 2 0.00 0.00 valid 0.00 119.84 0.00 2 0.00 0.00 valid 0.00 119.84 0.00 2 0.00 0.00 valid 0.00 119.84 0.00 2 0.00 0.00 valid_cisco 0.00 119.84 0.00 2 0.00 0.00 valid_cisco 0.00 119.84 0.00 2 0.00 0.00 valid_long 0.00 119.84 0.00 2 0.00 0.00 valid_ripemd160 0.00 119.84 0.00 2 0.00 0.00 valid_sha512 0.00 119.84 0.00 2 0.00 0.00 valid_whirlpool 0.00 119.84 0.00 1 0.00 0.00 BF_common_valid 0.00 119.84 0.00 1 0.00 0.00 Convert.constprop.3 0.00 119.84 0.00 1 0.00 0.00 Convert.constprop.4 0.00 119.84 0.00 1 0.00 0.00 Convert.constprop.4 0.00 119.84 0.00 1 0.00 0.00 Convert.constprop.4 0.00 119.84 0.00 1 0.00 0.00 Convert.constprop.4 0.00 119.84 0.00 1 0.00 0.00 Convert.constprop.4 0.00 119.84 0.00 1 0.00 0.00 Convert.constprop.5 0.00 119.84 0.00 1 0.00 0.00 Convert.constprop.5 0.00 119.84 0.00 1 0.00 0.00 c_cleanup 0.00 119.84 0.00 1 0.00 0.00 c_free_fixup 0.00 119.84 0.00 1 0.00 0.00 c_free_ident 0.00 119.84 0.00 1 0.00 0.00 chap_prepare 0.00 119.84 0.00 1 0.00 0.00 chap_valid 0.00 119.84 0.00 1 0.00 0.00 chap_valid_short 0.00 119.84 0.00 1 0.00 0.00 crk_done 0.00 119.84 0.00 1 0.00 0.00 crypt_all 0.00 119.84 0.00 1 0.00 0.00 cryptmd5_common_valid 0.00 119.84 0.00 1 0.00 113.54 do_batch_crack 0.00 119.84 0.00 1 0.00 0.01 do_single_crack 0.00 119.84 0.00 1 0.00 0.00 done 0.00 119.84 0.00 1 0.00 0.04 dynamic_Register_formats 0.00 119.84 0.00 1 0.00 0.00 encfs_common_valid 0.00 119.84 0.00 1 0.00 0.00 fmt_done 0.00 119.84 0.00 1 0.00 0.00 formspring_valid 0.00 119.84 0.00 1 0.00 0.00 get_binary 0.00 119.84 0.00 1 0.00 0.00 get_binary 0.00 119.84 0.00 1 0.00 0.00 get_salt 0.00 119.84 0.00 1 0.00 0.00 get_salt 0.00 119.84 0.00 1 0.00 0.00 hmailserver_valid 0.00 119.84 0.00 1 0.00 0.00 init 0.00 119.84 0.00 1 0.00 0.00 iteration_count 0.00 119.84 0.00 1 0.00 0.00 john_done 0.00 119.84 0.00 1 0.00 0.05 john_init 0.00 119.84 0.00 1 0.00 0.01 john_load 0.00 119.84 0.00 1 0.00 0.00 john_load_conf 0.00 119.84 0.00 1 0.00 0.00 john_load_conf_db 0.00 119.84 0.00 1 0.00 0.00 john_log_format 0.00 119.84 0.00 1 0.00 0.00 john_omp_init 0.00 119.84 0.00 1 0.00 0.00 john_omp_maybe_adjust_or_fallback 0.00 119.84 0.00 1 0.00 0.00 john_omp_show_info 0.00 119.84 0.00 1 0.00 0.04 john_register_all 0.00 119.84 0.00 1 0.00 113.55 john_run 0.00 119.84 0.00 1 0.00 0.00 ldr_conv 0.00 119.84 0.00 1 0.00 0.00 ldr_fix_database 0.00 119.84 0.00 1 0.00 0.00 ldr_init_database 0.00 119.84 0.00 1 0.00 0.00 ldr_load_pot_file 0.00 119.84 0.00 1 0.00 0.01 ldr_load_pw_file 0.00 119.84 0.00 1 0.00 0.01 ldr_load_pw_line 0.00 119.84 0.00 1 0.00 0.00 ldr_set_encoding 0.00 119.84 0.00 1 0.00 0.01 ldr_split_line 0.00 119.84 0.00 1 0.00 0.00 ldr_split_string 0.00 119.84 0.00 1 0.00 0.00 list_add 0.00 119.84 0.00 1 0.00 0.00 lotus85_valid 0.00 119.84 0.00 1 0.00 0.00 mediawiki_valid 0.00 119.84 0.00 1 0.00 0.00 ms_office_common_valid_all 0.00 119.84 0.00 1 0.00 0.00 mscash2_prepare 0.00 119.84 0.00 1 0.00 0.00 mscash2_valid 0.00 119.84 0.00 1 0.00 0.00 ntlm_prepare 0.00 119.84 0.00 1 0.00 0.00 ntlm_valid 0.00 119.84 0.00 1 0.00 0.00 opt_check 0.00 119.84 0.00 1 0.00 0.00 opt_init 0.00 119.84 0.00 1 0.00 0.00 opt_process 0.00 119.84 0.00 1 0.00 0.00 osc_valid 0.00 119.84 0.00 1 0.00 0.00 our_prepare 0.00 119.84 0.00 1 0.00 0.00 our_prepare 0.00 119.84 0.00 1 0.00 0.00 our_valid 0.00 119.84 0.00 1 0.00 0.00 path_init 0.00 119.84 0.00 1 0.00 0.00 path_session 0.00 119.84 0.00 1 0.00 0.00 phpassmd5_valid 0.00 119.84 0.00 1 0.00 0.00 phps_valid 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.01 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare 0.00 119.84 0.00 1 0.00 0.00 prepare_xsha512 0.00 119.84 0.00 1 0.00 0.01 read_file 0.00 119.84 0.00 1 0.00 0.00 real_error 0.00 119.84 0.00 1 0.00 0.00 regen_lost_salt_parse_options 0.00 119.84 0.00 1 0.00 0.00 register_dlls 0.00 119.84 0.00 1 0.00 0.00 setup_mime 0.00 119.84 0.00 1 0.00 0.00 sha1_fmt_valid 0.00 119.84 0.00 1 0.00 0.00 sha1crypt_common_valid 0.00 119.84 0.00 1 0.00 0.00 sig_init 0.00 119.84 0.00 1 0.00 0.00 sig_init_timer 0.00 119.84 0.00 1 0.00 0.00 sig_preinit 0.00 119.84 0.00 1 0.00 0.00 skey_valid 0.00 119.84 0.00 1 0.00 0.00 tty_init 0.00 119.84 0.00 1 0.00 0.00 uaf_init 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid 0.00 119.84 0.00 1 0.00 0.00 valid128 0.00 119.84 0.00 1 0.00 0.00 valid128 0.00 119.84 0.00 1 0.00 0.00 valid160 0.00 119.84 0.00 1 0.00 0.00 valid256 0.00 119.84 0.00 1 0.00 0.00 valid256 0.00 119.84 0.00 1 0.00 0.00 valid3 0.00 119.84 0.00 1 0.00 0.00 valid4 0.00 119.84 0.00 1 0.00 0.00 valid512 0.00 119.84 0.00 1 0.00 0.00 valid_sha1 0.00 119.84 0.00 1 0.00 0.00 valid_sha256 0.00 119.84 0.00 1 0.00 0.00 valid_sha512 0.00 119.84 0.00 1 0.00 0.00 valid_short 0.00 119.84 0.00 1 0.00 0.00 valid_truecrypt 0.00 119.84 0.00 1 0.00 0.00 valid_xsha512 % the percentage of the total running time of the time program used by this function. cumulative a running sum of the number of seconds accounted seconds for by this function and those listed above it. self the number of seconds accounted for by this seconds function alone. This is the major sort for this listing. calls the number of times this function was invoked, if this function is profiled, else blank. self the average number of milliseconds spent in this ms/call function per call, if this function is profiled, else blank. total the average number of milliseconds spent in this ms/call function and its descendents per call, if this function is profiled, else blank. name the name of the function. This is the minor sort for this listing. The index shows the location of the function in the gprof listing. If the index is in parenthesis it shows where it would appear in the gprof listing if it were to be printed. Copyright (C) 2012 Free Software Foundation, Inc. Copying and distribution of this file, with or without modification, are permitted in any medium without royalty provided the copyright notice and this notice are preserved. Call graph (explanation follows) granularity: each sample hit covers 2 byte(s) for 0.01% of 119.84 seconds index % time self children called name [1] 94.8 0.00 113.60 main [1] 0.00 113.55 1/1 john_run [2] 0.00 0.05 1/1 john_init [12] 0.00 0.00 1/802 sig_install [88] 0.00 0.00 1/1 sig_preinit [303] 0.00 0.00 1/1 john_done [240] ----------------------------------------------- 0.00 113.55 1/1 main [1] [2] 94.8 0.00 113.55 1 john_run [2] 0.00 113.54 1/1 do_batch_crack [3] 0.00 0.01 1/3 fmt_self_test [20] 0.00 0.00 1/4 status_init [46] 0.00 0.00 1/2 log_init [50] 0.00 0.00 1/3 idle_requested [52] 0.00 0.00 1/1 john_log_format [59] 0.00 0.00 1/1622 path_expand [80] 0.00 0.00 1/58 log_flush [115] 0.00 0.00 1/1 tty_init [305] 0.00 0.00 1/2 fmt_default_reset [179] 0.00 0.00 1/8 status_print [145] 0.00 0.00 1/2 tty_done [196] ----------------------------------------------- 0.00 113.54 1/1 john_run [2] [3] 94.7 0.00 113.54 1 do_batch_crack [3] 0.03 113.50 1/1 do_wordlist_crack [4] 0.00 0.01 1/1 do_single_crack [24] 0.00 0.00 1/439 cfg_get_param [37] ----------------------------------------------- 0.03 113.50 1/1 do_batch_crack [3] [4] 94.7 0.03 113.50 1 do_wordlist_crack [4] 0.00 113.46 19267/19267 crk_salt_loop [6] 0.02 0.00 191843/193184 rules_apply [23] 0.00 0.01 1/2 crk_init [22] 0.00 0.00 1/2 rules_count [43] 0.00 0.00 1/2 rules_init [48] 0.00 0.00 1/4 status_init [46] 0.00 0.00 55/1350 rules_reject [42] 0.00 0.00 1/2 rpp_init [54] 0.00 0.00 154136/154136 crk_process_key [63] 0.00 0.00 60/74 log_event [109] 0.00 0.00 55/1196 rpp_next [86] 0.00 0.00 2/1622 path_expand [80] 0.00 0.00 1/1 crk_done [227] 0.00 0.00 1/4 rec_done [161] 0.00 0.00 1/2 rec_restore_mode [192] 0.00 0.00 1/2 rec_init [190] ----------------------------------------------- 0.00 0.04 6/19272 fmt_self_test [20] 0.01 113.42 19266/19272 crk_password_loop [8] [5] 94.7 0.01 113.46 19272 crypt_all [5] 113.35 0.11 18393/18393 sevenzip_kdf [7] ----------------------------------------------- 0.00 113.46 19267/19267 do_wordlist_crack [4] [6] 94.7 0.00 113.46 19267 crk_salt_loop [6] 0.01 113.44 19267/19267 crk_password_loop [8] 0.01 0.00 19266/19267 fix_state [31] 0.00 0.00 19267/19267 crk_dummy_set_salt [72] 0.00 0.00 19266/38532 add32to64 [65] 0.00 0.00 54/54 crk_reload_pot [120] ----------------------------------------------- 120020 sevenzip_kdf [7] 113.35 0.11 18393/18393 crypt_all [5] [7] 94.7 113.35 0.11 18393+120020 sevenzip_kdf [7] 0.07 0.00 154134/154134 CRC32_Update [11] 0.03 0.00 154134/154135 CRC32_Init [21] 0.01 0.00 144695/144695 enc_to_utf16 [30] 0.00 0.00 154134/154134 CRC32_Final [64] 0.00 0.00 7/7 strlen16 [151] 120020 sevenzip_kdf [7] ----------------------------------------------- 0.01 113.44 19267/19267 crk_salt_loop [6] [8] 94.7 0.01 113.44 19267 crk_password_loop [8] 0.01 113.42 19266/19272 crypt_all [5] 0.01 0.00 807/807 crk_process_event [32] 0.00 0.00 19267/19267 idle_yield [73] 0.00 0.00 19266/19266 status_update_crypts [75] 0.00 0.00 19266/19410 mul32by32 [69] 0.00 0.00 19266/19272 cmp_all [70] ----------------------------------------------- 6.23 0.00 1/1 sig_handle_timer [10] [9] 5.2 6.23 0.00 1 sig_handle_abort [9] 0.00 0.00 1/3 check_abort [163] 0.00 0.00 1/2 sig_install_abort [194] 0.00 0.00 1/57 write_loop [117] ----------------------------------------------- [10] 5.2 0.00 6.23 sig_handle_timer [10] 6.23 0.00 1/1 sig_handle_abort [9] 0.00 0.00 3211/3211 tty_getchar [79] 0.00 0.00 800/802 sig_install [88] 0.00 0.00 8/8 signal_children [143] ----------------------------------------------- 0.07 0.00 154134/154134 sevenzip_kdf [7] [11] 0.1 0.07 0.00 154134 CRC32_Update [11] ----------------------------------------------- 0.00 0.05 1/1 main [1] [12] 0.0 0.00 0.05 1 john_init [12] 0.00 0.04 1/1 john_register_all [15] 0.00 0.01 1/1 john_load [25] 0.00 0.00 2/2 cfg_init [39] 0.00 0.00 1/1 john_load_conf [47] 0.00 0.00 1/4 status_init [46] 0.00 0.00 1/1 sig_init [58] 0.00 0.00 1/1 john_omp_init [241] 0.00 0.00 1/1 path_init [264] 0.00 0.00 1/1 opt_init [258] 0.00 0.00 1/1 john_omp_maybe_adjust_or_fallback [242] 0.00 0.00 1/2 common_init [171] ----------------------------------------------- 0.00 0.00 26/16499 cfg_merge_local_section [45] 0.00 0.00 85/16499 cfg_init [39] 0.00 0.00 439/16499 cfg_get_param [37] 0.04 0.00 15949/16499 cfg_get_list [14] [13] 0.0 0.04 0.00 16499 cfg_get_section [13] ----------------------------------------------- 0.00 0.00 2/15949 rpp_init [54] 0.00 0.04 15947/15949 dynamic_LOAD_PARSER_SIGNATURE [17] [14] 0.0 0.00 0.04 15949 cfg_get_list [14] 0.04 0.00 15949/16499 cfg_get_section [13] ----------------------------------------------- 0.00 0.04 1/1 john_init [12] [15] 0.0 0.00 0.04 1 john_register_all [15] 0.00 0.04 1/1 dynamic_Register_formats [16] 0.00 0.00 1/439 cfg_get_param [37] 0.00 0.00 384/384 john_register_one [94] 0.00 0.00 1/1 register_dlls [298] ----------------------------------------------- 0.00 0.04 1/1 john_register_all [15] [16] 0.0 0.00 0.04 1 dynamic_Register_formats [16] 0.00 0.04 10000/10000 dynamic_IS_VALID [18] 0.00 0.00 188/188 LoadOneFormat [40] 0.00 0.00 1/408 cfg_get_bool [38] 0.00 0.00 1/37260 mem_alloc_tiny_func [66] ----------------------------------------------- 0.00 0.00 53/8053 dynamic_LOAD_PARSER_FUNCTIONS [41] 0.00 0.04 8000/8053 dynamic_IS_PARSER_VALID [19] [17] 0.0 0.00 0.04 8053 dynamic_LOAD_PARSER_SIGNATURE [17] 0.00 0.04 15947/15949 cfg_get_list [14] ----------------------------------------------- 0.00 0.04 10000/10000 dynamic_Register_formats [16] [18] 0.0 0.00 0.04 10000 dynamic_IS_VALID [18] 0.00 0.04 8000/8000 dynamic_IS_PARSER_VALID [19] ----------------------------------------------- 0.00 0.04 8000/8000 dynamic_IS_VALID [18] [19] 0.0 0.00 0.04 8000 dynamic_IS_PARSER_VALID [19] 0.00 0.04 8000/8053 dynamic_LOAD_PARSER_SIGNATURE [17] ----------------------------------------------- 0.00 0.01 1/3 john_run [2] 0.00 0.02 2/3 crk_init [22] [20] 0.0 0.00 0.04 3 fmt_self_test [20] 0.00 0.04 6/19272 crypt_all [5] 0.00 0.00 1/4 fmt_init [57] 0.00 0.00 24/25 get_salt [125] 0.00 0.00 23/154159 sevenzip_set_key [62] 0.00 0.00 18/18 longcand [127] 0.00 0.00 14/30 get_key [124] 0.00 0.00 13/64 MEMDBG_off_free [113] 0.00 0.00 12/12 dyna_salt_remove_fp [129] 0.00 0.00 10/11 valid [133] 0.00 0.00 7/165 fmt_default_prepare [99] 0.00 0.00 7/8 fmt_default_split [137] 0.00 0.00 6/6 alloc_binary [152] 0.00 0.00 6/7 fmt_default_binary [147] 0.00 0.00 6/6 fmt_default_source [157] 0.00 0.00 6/7 fmt_default_salt_hash [149] 0.00 0.00 6/8 set_salt [142] 0.00 0.00 6/19272 cmp_all [70] 0.00 0.00 6/6 cmp_one [154] 0.00 0.00 6/6 fmt_default_get_hash [156] 0.00 0.00 6/7 fmt_default_binary_hash [148] 0.00 0.00 6/6 cmp_exact [153] 0.00 0.00 6/110 mem_alloc_func [107] 0.00 0.00 6/6 dyna_salt_cmp [155] 0.00 0.00 4/19271 fmt_default_clear_keys [71] 0.00 0.00 1/2 fmt_default_reset [179] 0.00 0.00 1/3 dyna_salt_init [165] ----------------------------------------------- 0.00 0.00 1/154135 init [60] 0.03 0.00 154134/154135 sevenzip_kdf [7] [21] 0.0 0.03 0.00 154135 CRC32_Init [21] ----------------------------------------------- 0.00 0.01 1/2 do_single_crack [24] 0.00 0.01 1/2 do_wordlist_crack [4] [22] 0.0 0.00 0.02 2 crk_init [22] 0.00 0.02 2/3 fmt_self_test [20] 0.00 0.00 2/2 idle_init [53] 0.00 0.00 1/19267 fix_state [31] 0.00 0.00 2/57 rec_save [116] 0.00 0.00 2/37260 mem_alloc_tiny_func [66] 0.00 0.00 2/2 crk_init_salt [172] ----------------------------------------------- 0.00 0.00 1341/193184 rules_reject [42] 0.02 0.00 191843/193184 do_wordlist_crack [4] [23] 0.0 0.02 0.00 193184 rules_apply [23] 0.00 0.00 155402/155402 rules_cp_to_utf8 [61] 0.00 0.00 24172/24172 strnfcpy [67] 0.00 0.00 41/1503 strnzcpy [81] ----------------------------------------------- 0.00 0.01 1/1 do_batch_crack [3] [24] 0.0 0.00 0.01 1 do_single_crack [24] 0.00 0.01 1/2 crk_init [22] 0.00 0.00 1/2 rules_count [43] 0.00 0.00 1/2 rules_init [48] 0.00 0.00 1/4 status_init [46] 0.00 0.00 1/3 cfg_get_int [51] 0.00 0.00 1/2 rpp_init [54] 0.00 0.00 1/1350 rules_reject [42] 0.00 0.00 6/74 log_event [109] 0.00 0.00 2/2 single_alloc_keys [195] 0.00 0.00 1/2 rec_restore_mode [192] 0.00 0.00 1/2 rec_init [190] 0.00 0.00 1/1196 rpp_next [86] 0.00 0.00 1/4 rec_done [161] ----------------------------------------------- 0.00 0.01 1/1 john_init [12] [25] 0.0 0.00 0.01 1 john_load [25] 0.00 0.01 1/1 ldr_load_pw_file [26] 0.00 0.00 1/2 log_init [50] 0.00 0.00 1/1 john_load_conf_db [55] 0.00 0.00 1/4 fmt_init [57] 0.00 0.00 3/74 log_event [109] 0.00 0.00 2/2 john_loaded_counts [182] 0.00 0.00 1/1 ldr_init_database [246] 0.00 0.00 1/1 ldr_fix_database [245] 0.00 0.00 1/1 ldr_load_pot_file [247] 0.00 0.00 1/1 john_omp_show_info [243] ----------------------------------------------- 0.00 0.01 1/1 john_load [25] [26] 0.0 0.00 0.01 1 ldr_load_pw_file [26] 0.00 0.01 1/1 read_file [27] 0.00 0.00 1/408 cfg_get_bool [38] ----------------------------------------------- 0.00 0.01 1/1 ldr_load_pw_file [26] [27] 0.0 0.00 0.01 1 read_file [27] 0.00 0.01 1/1 ldr_load_pw_line [28] 0.00 0.00 1/408 cfg_get_bool [38] 0.00 0.00 1/1622 path_expand [80] 0.00 0.00 1/3 dyna_salt_init [165] 0.00 0.00 1/3 check_abort [163] ----------------------------------------------- 0.00 0.01 1/1 read_file [27] [28] 0.0 0.00 0.01 1 ldr_load_pw_line [28] 0.00 0.01 1/1 ldr_split_line [29] 0.00 0.00 1/408 cfg_get_bool [38] 0.00 0.00 2/37260 mem_alloc_tiny_func [66] 0.00 0.00 2/3 mem_alloc_copy_func [166] 0.00 0.00 1/3 dyna_salt_init [165] 0.00 0.00 1/8 fmt_default_split [137] 0.00 0.00 1/7 fmt_default_binary [147] 0.00 0.00 1/7 fmt_default_binary_hash [148] 0.00 0.00 1/25 get_salt [125] 0.00 0.00 1/7 fmt_default_salt_hash [149] 0.00 0.00 1/1 iteration_count [239] 0.00 0.00 1/23204 str_alloc_copy_func [68] 0.00 0.00 1/8 list_init [141] 0.00 0.00 1/1 ldr_conv [244] 0.00 0.00 1/1 ldr_split_string [248] 0.00 0.00 1/110 mem_alloc_func [107] ----------------------------------------------- 0.00 0.01 1/1 ldr_load_pw_line [28] [29] 0.0 0.00 0.01 1 ldr_split_line [29] 0.00 0.01 1/1 prepare [36] 0.00 0.01 1/2 valid [33] 0.00 0.00 384/408 cfg_get_bool [38] 0.00 0.00 1/1 ldr_set_encoding [56] 0.00 0.00 1/4 fmt_init [57] 0.00 0.00 158/165 fmt_default_prepare [99] 0.00 0.00 111/125 valid [103] 0.00 0.00 103/103 prepare [108] 0.00 0.00 10/10 ldr_get_field [134] 0.00 0.00 3/3 valid [168] 0.00 0.00 2/2 ldr_show_pot_line [183] 0.00 0.00 2/2 prepare [189] 0.00 0.00 2/2 prepare [188] 0.00 0.00 2/2 crypt_128 [174] 0.00 0.00 2/2 crypt_512 [176] 0.00 0.00 2/2 crypt_128 [173] 0.00 0.00 2/2 crypt_128_4 [175] 0.00 0.00 2/2 valid [201] 0.00 0.00 2/2 valid [200] 0.00 0.00 1/1 prepare_xsha512 [295] 0.00 0.00 1/1 prepare [293] 0.00 0.00 1/1 prepare [292] 0.00 0.00 1/1 prepare [291] 0.00 0.00 1/1 prepare [290] 0.00 0.00 1/1 prepare [289] 0.00 0.00 1/1 prepare [287] 0.00 0.00 1/1 prepare [288] 0.00 0.00 1/1 prepare [286] 0.00 0.00 1/1 prepare [285] 0.00 0.00 1/1 prepare [284] 0.00 0.00 1/1 prepare [283] 0.00 0.00 1/1 prepare [276] 0.00 0.00 1/1 valid [311] 0.00 0.00 1/1 valid [309] 0.00 0.00 1/1 BF_common_valid [212] 0.00 0.00 1/1 cryptmd5_common_valid [229] 0.00 0.00 1/1 valid [308] 0.00 0.00 1/1 valid [307] ----------------------------------------------- 0.01 0.00 144695/144695 sevenzip_kdf [7] [30] 0.0 0.01 0.00 144695 enc_to_utf16 [30] ----------------------------------------------- 0.00 0.00 1/19267 crk_init [22] 0.01 0.00 19266/19267 crk_salt_loop [6] [31] 0.0 0.01 0.00 19267 fix_state [31] ----------------------------------------------- 0.01 0.00 807/807 crk_password_loop [8] [32] 0.0 0.01 0.00 807 crk_process_event [32] 0.00 0.00 1602/1622 path_expand [80] 0.00 0.00 53/57 rec_save [116] 0.00 0.00 7/8 status_print [145] ----------------------------------------------- 0.00 0.01 1/2 prepare [36] 0.00 0.01 1/2 ldr_split_line [29] [33] 0.0 0.00 0.01 2 valid [33] 0.01 0.00 1/1 Convert.constprop.4 [34] ----------------------------------------------- 1 Convert.constprop.4 [34] 0.01 0.00 1/1 valid [33] [34] 0.0 0.01 0.00 1+1 Convert.constprop.4 [34] 0.00 0.00 1/12 text_in_dynamic_format_already [130] 0.00 0.00 1/11 dynamic_THIN_FORMAT_LINK [132] 1 Convert.constprop.4 [34] ----------------------------------------------- [35] 0.0 0.01 0.00 utf8_to_utf16 [35] ----------------------------------------------- 0.00 0.01 1/1 ldr_split_line [29] [36] 0.0 0.00 0.01 1 prepare [36] 0.00 0.01 1/2 valid [33] 0.00 0.00 1/125 valid [103] ----------------------------------------------- 0.00 0.00 1/439 do_batch_crack [3] 0.00 0.00 1/439 fmt_init [57] 0.00 0.00 1/439 john_register_all [15] 0.00 0.00 2/439 ldr_set_encoding [56] 0.00 0.00 3/439 cfg_get_int [51] 0.00 0.00 5/439 john_load_conf [47] 0.00 0.00 8/439 status_init [46] 0.00 0.00 10/439 rules_init [48] 0.00 0.00 408/439 cfg_get_bool [38] [37] 0.0 0.00 0.00 439 cfg_get_param [37] 0.00 0.00 439/16499 cfg_get_section [13] ----------------------------------------------- 0.00 0.00 1/408 dynamic_Register_formats [16] 0.00 0.00 1/408 read_file [27] 0.00 0.00 1/408 ldr_load_pw_line [28] 0.00 0.00 1/408 ldr_load_pw_file [26] 0.00 0.00 2/408 john_load_conf_db [55] 0.00 0.00 3/408 idle_requested [52] 0.00 0.00 4/408 status_init [46] 0.00 0.00 5/408 log_init [50] 0.00 0.00 6/408 john_load_conf [47] 0.00 0.00 384/408 ldr_split_line [29] [38] 0.0 0.00 0.00 408 cfg_get_bool [38] 0.00 0.00 408/439 cfg_get_param [37] ----------------------------------------------- 9 cfg_init [39] 0.00 0.00 2/2 john_init [12] [39] 0.0 0.00 0.00 2+9 cfg_init [39] 0.00 0.00 85/16499 cfg_get_section [13] 0.00 0.00 258/258 cfg_merge_local_section [45] 0.00 0.00 13256/13256 trim [76] 0.00 0.00 12626/12626 fgetl [77] 0.00 0.00 12433/12433 cfg_add_line [78] 0.00 0.00 529/529 strlwr [90] 0.00 0.00 446/37260 mem_alloc_tiny_func [66] 0.00 0.00 258/23204 str_alloc_copy_func [68] 0.00 0.00 196/196 cfg_add_param [96] 0.00 0.00 170/502 strtokm [92] 0.00 0.00 94/1503 strnzcpy [81] 0.00 0.00 10/1622 path_expand [80] 9 cfg_init [39] ----------------------------------------------- 0.00 0.00 188/188 dynamic_Register_formats [16] [40] 0.0 0.00 0.00 188 LoadOneFormat [40] 0.00 0.00 53/53 dynamic_LOAD_PARSER_FUNCTIONS [41] 0.00 0.00 188/1379 isSHA2_512Func [82] 0.00 0.00 187/23204 str_alloc_copy_func [68] 0.00 0.00 187/37260 mem_alloc_tiny_func [66] 0.00 0.00 135/135 dynamic_RESERVED_PRELOAD_SETUP [101] 0.00 0.00 53/187 dynamic_SETUP [97] 0.00 0.00 3/4 base64_valid_length [159] ----------------------------------------------- 0.00 0.00 53/53 LoadOneFormat [40] [41] 0.0 0.00 0.00 53 dynamic_LOAD_PARSER_FUNCTIONS [41] 0.00 0.00 53/8053 dynamic_LOAD_PARSER_SIGNATURE [17] 0.00 0.00 798/798 dynamic_LOAD_PARSER_FUNCTIONS_LoadLINE [89] 0.00 0.00 318/318 Count_Items [95] 0.00 0.00 159/37260 mem_alloc_tiny_func [66] 0.00 0.00 53/55 mem_calloc_tiny_func [118] 0.00 0.00 53/23204 str_alloc_copy_func [68] ----------------------------------------------- 0.00 0.00 1/1350 do_single_crack [24] 0.00 0.00 55/1350 do_wordlist_crack [4] 0.00 0.00 156/1350 rules_remove_dups [49] 0.00 0.00 1138/1350 rules_check [44] [42] 0.0 0.00 0.00 1350 rules_reject [42] 0.00 0.00 1341/193184 rules_apply [23] 0.00 0.00 1341/1503 strnzcpy [81] ----------------------------------------------- 0.00 0.00 1/2 do_single_crack [24] 0.00 0.00 1/2 do_wordlist_crack [4] [43] 0.0 0.00 0.00 2 rules_count [43] 0.00 0.00 2/2 rules_check [44] 0.00 0.00 2/2 rules_remove_dups [49] ----------------------------------------------- 0.00 0.00 2/2 rules_count [43] [44] 0.0 0.00 0.00 2 rules_check [44] 0.00 0.00 1138/1350 rules_reject [42] 0.00 0.00 1140/1196 rpp_next [86] ----------------------------------------------- 0.00 0.00 258/258 cfg_init [39] [45] 0.0 0.00 0.00 258 cfg_merge_local_section [45] 0.00 0.00 26/16499 cfg_get_section [13] ----------------------------------------------- 0.00 0.00 1/4 john_init [12] 0.00 0.00 1/4 john_run [2] 0.00 0.00 1/4 do_single_crack [24] 0.00 0.00 1/4 do_wordlist_crack [4] [46] 0.0 0.00 0.00 4 status_init [46] 0.00 0.00 8/439 cfg_get_param [37] 0.00 0.00 4/408 cfg_get_bool [38] 0.00 0.00 4/5 clk_tck_init [158] ----------------------------------------------- 0.00 0.00 1/1 john_init [12] [47] 0.0 0.00 0.00 1 john_load_conf [47] 0.00 0.00 6/408 cfg_get_bool [38] 0.00 0.00 5/439 cfg_get_param [37] 0.00 0.00 1/3 cfg_get_int [51] 0.00 0.00 1/23204 str_alloc_copy_func [68] 0.00 0.00 1/3 cp_name2id [164] 0.00 0.00 1/2 initUnicode [181] ----------------------------------------------- 0.00 0.00 1/2 do_single_crack [24] 0.00 0.00 1/2 do_wordlist_crack [4] [48] 0.0 0.00 0.00 2 rules_init [48] 0.00 0.00 10/439 cfg_get_param [37] 0.00 0.00 18/18 rules_init_class [128] 0.00 0.00 7/7 rules_init_conv [150] 0.00 0.00 3/3 userclass_expand [167] ----------------------------------------------- 0.00 0.00 2/2 rules_count [43] [49] 0.0 0.00 0.00 2 rules_remove_dups [49] 0.00 0.00 156/1350 rules_reject [42] 0.00 0.00 156/156 rules_normalize_add_line [100] 0.00 0.00 4/110 mem_alloc_func [107] 0.00 0.00 4/64 MEMDBG_off_free [113] ----------------------------------------------- 0.00 0.00 1/2 john_load [25] 0.00 0.00 1/2 john_run [2] [50] 0.0 0.00 0.00 2 log_init [50] 0.00 0.00 5/408 cfg_get_bool [38] 0.00 0.00 2/2 log_file_init.constprop.1 [185] ----------------------------------------------- 0.00 0.00 1/3 john_load_conf [47] 0.00 0.00 1/3 sig_init [58] 0.00 0.00 1/3 do_single_crack [24] [51] 0.0 0.00 0.00 3 cfg_get_int [51] 0.00 0.00 3/439 cfg_get_param [37] ----------------------------------------------- 0.00 0.00 1/3 john_run [2] 0.00 0.00 2/3 idle_init [53] [52] 0.0 0.00 0.00 3 idle_requested [52] 0.00 0.00 3/408 cfg_get_bool [38] ----------------------------------------------- 0.00 0.00 2/2 crk_init [22] [53] 0.0 0.00 0.00 2 idle_init [53] 0.00 0.00 2/3 idle_requested [52] ----------------------------------------------- 0.00 0.00 1/2 do_single_crack [24] 0.00 0.00 1/2 do_wordlist_crack [4] [54] 0.0 0.00 0.00 2 rpp_init [54] 0.00 0.00 2/15949 cfg_get_list [14] ----------------------------------------------- 0.00 0.00 1/1 john_load [25] [55] 0.0 0.00 0.00 1 john_load_conf_db [55] 0.00 0.00 2/408 cfg_get_bool [38] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [56] 0.0 0.00 0.00 1 ldr_set_encoding [56] 0.00 0.00 2/439 cfg_get_param [37] 0.00 0.00 2/3 cp_name2id [164] 0.00 0.00 1/2 initUnicode [181] ----------------------------------------------- 0.00 0.00 1/4 fmt_self_test [20] 0.00 0.00 1/4 john_log_format [59] 0.00 0.00 1/4 john_load [25] 0.00 0.00 1/4 ldr_split_line [29] [57] 0.0 0.00 0.00 4 fmt_init [57] 0.00 0.00 1/439 cfg_get_param [37] 0.00 0.00 1/1 init [60] ----------------------------------------------- 0.00 0.00 1/1 john_init [12] [58] 0.0 0.00 0.00 1 sig_init [58] 0.00 0.00 1/3 cfg_get_int [51] 0.00 0.00 1/5 clk_tck_init [158] 0.00 0.00 1/802 sig_install [88] 0.00 0.00 1/2 sig_install_abort [194] 0.00 0.00 1/1 sig_init_timer [302] ----------------------------------------------- 0.00 0.00 1/1 john_run [2] [59] 0.0 0.00 0.00 1 john_log_format [59] 0.00 0.00 1/4 fmt_init [57] 0.00 0.00 3/74 log_event [109] ----------------------------------------------- 0.00 0.00 1/1 fmt_init [57] [60] 0.0 0.00 0.00 1 init [60] 0.00 0.00 1/154135 CRC32_Init [21] 0.00 0.00 2/2 mem_calloc_func [186] ----------------------------------------------- 0.00 0.00 155402/155402 rules_apply [23] [61] 0.0 0.00 0.00 155402 rules_cp_to_utf8 [61] ----------------------------------------------- 0.00 0.00 23/154159 fmt_self_test [20] 0.00 0.00 154136/154159 crk_process_key [63] [62] 0.0 0.00 0.00 154159 sevenzip_set_key [62] ----------------------------------------------- 0.00 0.00 154136/154136 do_wordlist_crack [4] [63] 0.0 0.00 0.00 154136 crk_process_key [63] 0.00 0.00 154136/154159 sevenzip_set_key [62] 0.00 0.00 19267/19271 fmt_default_clear_keys [71] ----------------------------------------------- 0.00 0.00 154134/154134 sevenzip_kdf [7] [64] 0.0 0.00 0.00 154134 CRC32_Final [64] ----------------------------------------------- 0.00 0.00 19266/38532 crk_salt_loop [6] 0.00 0.00 19266/38532 status_update_crypts [75] [65] 0.0 0.00 0.00 38532 add32to64 [65] ----------------------------------------------- 0.00 0.00 1/37260 dynamic_Register_formats [16] 0.00 0.00 1/37260 list_add [249] 0.00 0.00 1/37260 path_session [265] 0.00 0.00 2/37260 get_salt [236] 0.00 0.00 2/37260 get_salt [237] 0.00 0.00 2/37260 crk_init [22] 0.00 0.00 2/37260 ldr_load_pw_line [28] 0.00 0.00 3/37260 mem_alloc_copy_func [166] 0.00 0.00 4/37260 single_alloc_keys [195] 0.00 0.00 7/37260 rules_init_conv [150] 0.00 0.00 8/37260 list_init [141] 0.00 0.00 11/37260 dynamic_THIN_FORMAT_LINK [132] 0.00 0.00 32/37260 rules_init_class [128] 0.00 0.00 55/37260 mem_calloc_tiny_func [118] 0.00 0.00 156/37260 rules_normalize_add_line [100] 0.00 0.00 159/37260 dynamic_LOAD_PARSER_FUNCTIONS [41] 0.00 0.00 187/37260 LoadOneFormat [40] 0.00 0.00 196/37260 cfg_add_param [96] 0.00 0.00 380/37260 dynamic_SETUP [97] 0.00 0.00 446/37260 cfg_init [39] 0.00 0.00 12433/37260 cfg_add_line [78] 0.00 0.00 23172/37260 str_alloc_copy_func [68] [66] 0.0 0.00 0.00 37260 mem_alloc_tiny_func [66] 0.00 0.00 82/110 mem_alloc_func [107] ----------------------------------------------- 0.00 0.00 24172/24172 rules_apply [23] [67] 0.0 0.00 0.00 24172 strnfcpy [67] ----------------------------------------------- 0.00 0.00 1/23204 john_load_conf [47] 0.00 0.00 1/23204 ldr_load_pw_line [28] 0.00 0.00 3/23204 userclass_expand [167] 0.00 0.00 53/23204 dynamic_LOAD_PARSER_FUNCTIONS [41] 0.00 0.00 156/23204 rules_normalize_add_line [100] 0.00 0.00 171/23204 dynamic_Demangle [98] 0.00 0.00 187/23204 LoadOneFormat [40] 0.00 0.00 258/23204 cfg_init [39] 0.00 0.00 391/23204 dynamic_LOAD_PARSER_FUNCTIONS_LoadLINE [89] 0.00 0.00 392/23204 cfg_add_param [96] 0.00 0.00 9158/23204 dynamic_SETUP [97] 0.00 0.00 12433/23204 cfg_add_line [78] [68] 0.0 0.00 0.00 23204 str_alloc_copy_func [68] 0.00 0.00 23172/37260 mem_alloc_tiny_func [66] ----------------------------------------------- 0.00 0.00 144/19410 mul64by32 [112] 0.00 0.00 19266/19410 crk_password_loop [8] [69] 0.0 0.00 0.00 19410 mul32by32 [69] ----------------------------------------------- 0.00 0.00 6/19272 fmt_self_test [20] 0.00 0.00 19266/19272 crk_password_loop [8] [70] 0.0 0.00 0.00 19272 cmp_all [70] ----------------------------------------------- 0.00 0.00 4/19271 fmt_self_test [20] 0.00 0.00 19267/19271 crk_process_key [63] [71] 0.0 0.00 0.00 19271 fmt_default_clear_keys [71] ----------------------------------------------- 0.00 0.00 19267/19267 crk_salt_loop [6] [72] 0.0 0.00 0.00 19267 crk_dummy_set_salt [72] ----------------------------------------------- 0.00 0.00 19267/19267 crk_password_loop [8] [73] 0.0 0.00 0.00 19267 idle_yield [73] ----------------------------------------------- 0.00 0.00 19266/19266 status_update_crypts [75] [74] 0.0 0.00 0.00 19266 add64to64 [74] ----------------------------------------------- 0.00 0.00 19266/19266 crk_password_loop [8] [75] 0.0 0.00 0.00 19266 status_update_crypts [75] 0.00 0.00 19266/19266 add64to64 [74] 0.00 0.00 19266/38532 add32to64 [65] ----------------------------------------------- 0.00 0.00 13256/13256 cfg_init [39] [76] 0.0 0.00 0.00 13256 trim [76] ----------------------------------------------- 0.00 0.00 12626/12626 cfg_init [39] [77] 0.0 0.00 0.00 12626 fgetl [77] ----------------------------------------------- 0.00 0.00 12433/12433 cfg_init [39] [78] 0.0 0.00 0.00 12433 cfg_add_line [78] 0.00 0.00 12433/37260 mem_alloc_tiny_func [66] 0.00 0.00 12433/23204 str_alloc_copy_func [68] ----------------------------------------------- 0.00 0.00 3211/3211 sig_handle_timer [10] [79] 0.0 0.00 0.00 3211 tty_getchar [79] ----------------------------------------------- 0.00 0.00 1/1622 john_run [2] 0.00 0.00 1/1622 read_file [27] 0.00 0.00 2/1622 rec_init [190] 0.00 0.00 2/1622 do_wordlist_crack [4] 0.00 0.00 4/1622 log_file_init.constprop.1 [185] 0.00 0.00 10/1622 cfg_init [39] 0.00 0.00 1602/1622 crk_process_event [32] [80] 0.0 0.00 0.00 1622 path_expand [80] 0.00 0.00 18/1503 strnzcpy [81] ----------------------------------------------- 0.00 0.00 1/1503 skey_valid [304] 0.00 0.00 8/1503 status_print_cracking [146] 0.00 0.00 18/1503 path_expand [80] 0.00 0.00 41/1503 rules_apply [23] 0.00 0.00 94/1503 cfg_init [39] 0.00 0.00 1341/1503 rules_reject [42] [81] 0.0 0.00 0.00 1503 strnzcpy [81] ----------------------------------------------- 0.00 0.00 188/1379 LoadOneFormat [40] 0.00 0.00 1191/1379 dynamic_SETUP [97] [82] 0.0 0.00 0.00 1379 isSHA2_512Func [82] ----------------------------------------------- 0.00 0.00 1267/1267 dynamic_SETUP [97] [83] 0.0 0.00 0.00 1267 isMD4Func [83] ----------------------------------------------- 0.00 0.00 1262/1262 dynamic_SETUP [97] [84] 0.0 0.00 0.00 1262 isSHA1Func [84] ----------------------------------------------- 0.00 0.00 1223/1223 dynamic_SETUP [97] [85] 0.0 0.00 0.00 1223 isSHA2_256Func [85] ----------------------------------------------- 0.00 0.00 1/1196 do_single_crack [24] 0.00 0.00 55/1196 do_wordlist_crack [4] 0.00 0.00 1140/1196 rules_check [44] [86] 0.0 0.00 0.00 1196 rpp_next [86] 0.00 0.00 1080/1080 rpp_add_char [87] ----------------------------------------------- 0.00 0.00 1080/1080 rpp_next [86] [87] 0.0 0.00 0.00 1080 rpp_add_char [87] ----------------------------------------------- 0.00 0.00 1/802 main [1] 0.00 0.00 1/802 sig_init [58] 0.00 0.00 800/802 sig_handle_timer [10] [88] 0.0 0.00 0.00 802 sig_install [88] ----------------------------------------------- 0.00 0.00 798/798 dynamic_LOAD_PARSER_FUNCTIONS [41] [89] 0.0 0.00 0.00 798 dynamic_LOAD_PARSER_FUNCTIONS_LoadLINE [89] 0.00 0.00 510/510 convert_old_name_if_needed [91] 0.00 0.00 391/23204 str_alloc_copy_func [68] 0.00 0.00 171/171 dynamic_Demangle [98] ----------------------------------------------- 0.00 0.00 529/529 cfg_init [39] [90] 0.0 0.00 0.00 529 strlwr [90] ----------------------------------------------- 0.00 0.00 510/510 dynamic_LOAD_PARSER_FUNCTIONS_LoadLINE [89] [91] 0.0 0.00 0.00 510 convert_old_name_if_needed [91] ----------------------------------------------- 0.00 0.00 82/502 valid [133] 0.00 0.00 170/502 cfg_init [39] 0.00 0.00 250/502 get_salt [125] [92] 0.0 0.00 0.00 502 strtokm [92] ----------------------------------------------- 0.00 0.00 384/384 john_register_one [94] [93] 0.0 0.00 0.00 384 fmt_register [93] ----------------------------------------------- 0.00 0.00 384/384 john_register_all [15] [94] 0.0 0.00 0.00 384 john_register_one [94] 0.00 0.00 384/384 fmt_register [93] ----------------------------------------------- 0.00 0.00 318/318 dynamic_LOAD_PARSER_FUNCTIONS [41] [95] 0.0 0.00 0.00 318 Count_Items [95] ----------------------------------------------- 0.00 0.00 196/196 cfg_init [39] [96] 0.0 0.00 0.00 196 cfg_add_param [96] 0.00 0.00 392/23204 str_alloc_copy_func [68] 0.00 0.00 196/37260 mem_alloc_tiny_func [66] ----------------------------------------------- 0.00 0.00 53/187 LoadOneFormat [40] 0.00 0.00 134/187 dynamic_RESERVED_PRELOAD_SETUP [101] [97] 0.0 0.00 0.00 187 dynamic_SETUP [97] 0.00 0.00 9158/23204 str_alloc_copy_func [68] 0.00 0.00 1267/1267 isMD4Func [83] 0.00 0.00 1262/1262 isSHA1Func [84] 0.00 0.00 1223/1223 isSHA2_256Func [85] 0.00 0.00 1191/1379 isSHA2_512Func [82] 0.00 0.00 380/37260 mem_alloc_tiny_func [66] ----------------------------------------------- 0.00 0.00 171/171 dynamic_LOAD_PARSER_FUNCTIONS_LoadLINE [89] [98] 0.0 0.00 0.00 171 dynamic_Demangle [98] 0.00 0.00 171/23204 str_alloc_copy_func [68] ----------------------------------------------- 0.00 0.00 7/165 fmt_self_test [20] 0.00 0.00 158/165 ldr_split_line [29] [99] 0.0 0.00 0.00 165 fmt_default_prepare [99] ----------------------------------------------- 0.00 0.00 156/156 rules_remove_dups [49] [100] 0.0 0.00 0.00 156 rules_normalize_add_line [100] 0.00 0.00 156/37260 mem_alloc_tiny_func [66] 0.00 0.00 156/23204 str_alloc_copy_func [68] ----------------------------------------------- 0.00 0.00 135/135 LoadOneFormat [40] [101] 0.0 0.00 0.00 135 dynamic_RESERVED_PRELOAD_SETUP [101] 0.00 0.00 134/187 dynamic_SETUP [97] ----------------------------------------------- 0.00 0.00 8/135 status_print_cracking [146] 0.00 0.00 57/135 rec_save [116] 0.00 0.00 70/135 log_time [111] [102] 0.0 0.00 0.00 135 status_get_time [102] ----------------------------------------------- 0.00 0.00 1/125 prepare [36] 0.00 0.00 1/125 prepare [281] 0.00 0.00 1/125 prepare [282] 0.00 0.00 11/125 dynamic_THIN_FORMAT_LINK [132] 0.00 0.00 111/125 ldr_split_line [29] [103] 0.0 0.00 0.00 125 valid [103] 0.00 0.00 3/3 RemoveHEX [162] 0.00 0.00 1/4 base64_valid_length [159] ----------------------------------------------- 0.00 0.00 118/118 log_file_fsync [105] [104] 0.0 0.00 0.00 118 log_file_flush [104] 0.00 0.00 56/57 write_loop [117] ----------------------------------------------- 0.00 0.00 2/118 log_file_done [160] 0.00 0.00 116/118 log_flush [115] [105] 0.0 0.00 0.00 118 log_file_fsync [105] 0.00 0.00 118/118 log_file_flush [104] ----------------------------------------------- 0.00 0.00 114/114 rec_save [116] [106] 0.0 0.00 0.00 114 cp_id2name [106] ----------------------------------------------- 0.00 0.00 1/110 prepare [284] 0.00 0.00 1/110 ldr_load_pw_line [28] 0.00 0.00 1/110 ldr_init_database [246] 0.00 0.00 1/110 path_init [264] 0.00 0.00 2/110 prepare [272] 0.00 0.00 2/110 prepare [274] 0.00 0.00 2/110 log_file_init.constprop.1 [185] 0.00 0.00 2/110 mem_calloc_func [186] 0.00 0.00 4/110 rules_remove_dups [49] 0.00 0.00 6/110 alloc_binary [152] 0.00 0.00 6/110 fmt_self_test [20] 0.00 0.00 82/110 mem_alloc_tiny_func [66] [107] 0.0 0.00 0.00 110 mem_alloc_func [107] ----------------------------------------------- 0.00 0.00 103/103 ldr_split_line [29] [108] 0.0 0.00 0.00 103 prepare [108] ----------------------------------------------- 0.00 0.00 1/74 john_done [240] 0.00 0.00 1/74 real_error [296] 0.00 0.00 3/74 john_log_format [59] 0.00 0.00 3/74 john_load [25] 0.00 0.00 6/74 do_single_crack [24] 0.00 0.00 60/74 do_wordlist_crack [4] [109] 0.0 0.00 0.00 74 log_event [109] 0.00 0.00 73/73 log_time [111] 0.00 0.00 73/73 log_file_write [110] ----------------------------------------------- 0.00 0.00 73/73 log_event [109] [110] 0.0 0.00 0.00 73 log_file_write [110] ----------------------------------------------- 0.00 0.00 73/73 log_event [109] [111] 0.0 0.00 0.00 73 log_time [111] 0.00 0.00 70/135 status_get_time [102] ----------------------------------------------- 0.00 0.00 72/72 status_get_cps [123] [112] 0.0 0.00 0.00 72 mul64by32 [112] 0.00 0.00 144/19410 mul32by32 [69] ----------------------------------------------- 0.00 0.00 1/64 prepare [284] 0.00 0.00 1/64 path_done [187] 0.00 0.00 2/64 done [230] 0.00 0.00 2/64 prepare [272] 0.00 0.00 2/64 prepare [274] 0.00 0.00 2/64 ldr_fix_database [245] 0.00 0.00 2/64 log_file_done [160] 0.00 0.00 4/64 rules_remove_dups [49] 0.00 0.00 10/64 valid [133] 0.00 0.00 13/64 fmt_self_test [20] 0.00 0.00 25/64 get_salt [125] [113] 0.0 0.00 0.00 64 MEMDBG_off_free [113] ----------------------------------------------- 0.00 0.00 8/63 status_print [145] 0.00 0.00 55/63 rec_save [116] [114] 0.0 0.00 0.00 63 get_progress [114] ----------------------------------------------- 0.00 0.00 1/58 john_run [2] 0.00 0.00 57/58 rec_save [116] [115] 0.0 0.00 0.00 58 log_flush [115] 0.00 0.00 116/118 log_file_fsync [105] ----------------------------------------------- 0.00 0.00 2/57 crk_init [22] 0.00 0.00 2/57 rec_done [161] 0.00 0.00 53/57 crk_process_event [32] [116] 0.0 0.00 0.00 57 rec_save [116] 0.00 0.00 114/114 cp_id2name [106] 0.00 0.00 57/58 log_flush [115] 0.00 0.00 57/135 status_get_time [102] 0.00 0.00 55/63 get_progress [114] 0.00 0.00 55/55 save_state [119] 0.00 0.00 2/2 get_progress [180] 0.00 0.00 2/2 save_state [193] ----------------------------------------------- 0.00 0.00 1/57 sig_handle_abort [9] 0.00 0.00 56/57 log_file_flush [104] [117] 0.0 0.00 0.00 57 write_loop [117] ----------------------------------------------- 0.00 0.00 1/55 prepare [291] 0.00 0.00 1/55 prepare [292] 0.00 0.00 53/55 dynamic_LOAD_PARSER_FUNCTIONS [41] [118] 0.0 0.00 0.00 55 mem_calloc_tiny_func [118] 0.00 0.00 55/37260 mem_alloc_tiny_func [66] ----------------------------------------------- 0.00 0.00 55/55 rec_save [116] [119] 0.0 0.00 0.00 55 save_state [119] ----------------------------------------------- 0.00 0.00 54/54 crk_salt_loop [6] [120] 0.0 0.00 0.00 54 crk_reload_pot [120] ----------------------------------------------- 0.00 0.00 24/48 div64by32 [126] 0.00 0.00 24/48 status_get_cps [123] [121] 0.0 0.00 0.00 48 div64by32lo [121] ----------------------------------------------- 0.00 0.00 8/33 isdecu [139] 0.00 0.00 25/33 get_salt [125] [122] 0.0 0.00 0.00 33 atou [122] ----------------------------------------------- 0.00 0.00 32/32 status_print_cracking [146] [123] 0.0 0.00 0.00 32 status_get_cps [123] 0.00 0.00 72/72 mul64by32 [112] 0.00 0.00 24/24 div64by32 [126] 0.00 0.00 24/48 div64by32lo [121] ----------------------------------------------- 0.00 0.00 14/30 fmt_self_test [20] 0.00 0.00 16/30 status_print_cracking [146] [124] 0.0 0.00 0.00 30 get_key [124] ----------------------------------------------- 0.00 0.00 1/25 ldr_load_pw_line [28] 0.00 0.00 24/25 fmt_self_test [20] [125] 0.0 0.00 0.00 25 get_salt [125] 0.00 0.00 250/502 strtokm [92] 0.00 0.00 25/33 atou [122] 0.00 0.00 25/64 MEMDBG_off_free [113] ----------------------------------------------- 0.00 0.00 24/24 status_get_cps [123] [126] 0.0 0.00 0.00 24 div64by32 [126] 0.00 0.00 24/48 div64by32lo [121] ----------------------------------------------- 0.00 0.00 18/18 fmt_self_test [20] [127] 0.0 0.00 0.00 18 longcand [127] ----------------------------------------------- 0.00 0.00 18/18 rules_init [48] [128] 0.0 0.00 0.00 18 rules_init_class [128] 0.00 0.00 32/37260 mem_alloc_tiny_func [66] ----------------------------------------------- 0.00 0.00 12/12 fmt_self_test [20] [129] 0.0 0.00 0.00 12 dyna_salt_remove_fp [129] ----------------------------------------------- 0.00 0.00 1/12 Convert.constprop.5 [219] 0.00 0.00 1/12 our_valid [263] 0.00 0.00 1/12 Convert.constprop.5 [220] 0.00 0.00 1/12 Convert.constprop.4 [34] 0.00 0.00 1/12 Convert.constprop.4 [214] 0.00 0.00 1/12 Convert.constprop.4 [215] 0.00 0.00 1/12 Convert.constprop.3 [213] 0.00 0.00 1/12 get_binary [234] 0.00 0.00 1/12 get_binary [235] 0.00 0.00 1/12 Convert.constprop.4 [216] 0.00 0.00 1/12 Convert.constprop.4 [217] 0.00 0.00 1/12 Convert.constprop.4 [218] [130] 0.0 0.00 0.00 12 text_in_dynamic_format_already [130] ----------------------------------------------- 0.00 0.00 11/11 dynamic_THIN_FORMAT_LINK [132] [131] 0.0 0.00 0.00 11 dynamic_Get_fmt_main [131] ----------------------------------------------- 0.00 0.00 1/11 Convert.constprop.5 [219] 0.00 0.00 1/11 Convert.constprop.5 [220] 0.00 0.00 1/11 Convert.constprop.4 [34] 0.00 0.00 1/11 Convert.constprop.4 [214] 0.00 0.00 1/11 Convert.constprop.4 [215] 0.00 0.00 1/11 Convert.constprop.3 [213] 0.00 0.00 1/11 get_salt [236] 0.00 0.00 1/11 get_salt [237] 0.00 0.00 1/11 Convert.constprop.4 [216] 0.00 0.00 1/11 Convert.constprop.4 [217] 0.00 0.00 1/11 Convert.constprop.4 [218] [132] 0.0 0.00 0.00 11 dynamic_THIN_FORMAT_LINK [132] 0.00 0.00 11/11 dynamic_Get_fmt_main [131] 0.00 0.00 11/125 valid [103] 0.00 0.00 11/37260 mem_alloc_tiny_func [66] ----------------------------------------------- 0.00 0.00 1/11 ldr_split_line [29] 0.00 0.00 10/11 fmt_self_test [20] [133] 0.0 0.00 0.00 11 valid [133] 0.00 0.00 82/502 strtokm [92] 0.00 0.00 10/64 MEMDBG_off_free [113] 0.00 0.00 8/8 ishex [140] 0.00 0.00 8/8 isdecu [139] 0.00 0.00 8/8 isdec [138] ----------------------------------------------- 0.00 0.00 10/10 ldr_split_line [29] [134] 0.0 0.00 0.00 10 ldr_get_field [134] ----------------------------------------------- 0.00 0.00 8/8 status_print_cracking [146] [135] 0.0 0.00 0.00 8 crk_get_key1 [135] ----------------------------------------------- 0.00 0.00 8/8 status_print_cracking [146] [136] 0.0 0.00 0.00 8 crk_get_key2 [136] ----------------------------------------------- 0.00 0.00 1/8 ldr_load_pw_line [28] 0.00 0.00 7/8 fmt_self_test [20] [137] 0.0 0.00 0.00 8 fmt_default_split [137] ----------------------------------------------- 0.00 0.00 8/8 valid [133] [138] 0.0 0.00 0.00 8 isdec [138] ----------------------------------------------- 0.00 0.00 8/8 valid [133] [139] 0.0 0.00 0.00 8 isdecu [139] 0.00 0.00 8/33 atou [122] ----------------------------------------------- 0.00 0.00 8/8 valid [133] [140] 0.0 0.00 0.00 8 ishex [140] ----------------------------------------------- 0.00 0.00 1/8 register_dlls [298] 0.00 0.00 1/8 ldr_load_pw_line [28] 0.00 0.00 1/8 ldr_init_database [246] 0.00 0.00 5/8 opt_init [258] [141] 0.0 0.00 0.00 8 list_init [141] 0.00 0.00 8/37260 mem_alloc_tiny_func [66] ----------------------------------------------- 0.00 0.00 2/8 crk_init_salt [172] 0.00 0.00 6/8 fmt_self_test [20] [142] 0.0 0.00 0.00 8 set_salt [142] ----------------------------------------------- 0.00 0.00 8/8 sig_handle_timer [10] [143] 0.0 0.00 0.00 8 signal_children [143] ----------------------------------------------- 0.00 0.00 8/8 status_print_cracking [146] [144] 0.0 0.00 0.00 8 status_get_ETA [144] ----------------------------------------------- 0.00 0.00 1/8 john_run [2] 0.00 0.00 7/8 crk_process_event [32] [145] 0.0 0.00 0.00 8 status_print [145] 0.00 0.00 8/8 status_print_cracking [146] 0.00 0.00 8/63 get_progress [114] ----------------------------------------------- 0.00 0.00 8/8 status_print [145] [146] 0.0 0.00 0.00 8 status_print_cracking [146] 0.00 0.00 32/32 status_get_cps [123] 0.00 0.00 16/30 get_key [124] 0.00 0.00 8/135 status_get_time [102] 0.00 0.00 8/8 status_get_ETA [144] 0.00 0.00 8/8 crk_get_key2 [136] 0.00 0.00 8/8 crk_get_key1 [135] 0.00 0.00 8/1503 strnzcpy [81] ----------------------------------------------- 0.00 0.00 1/7 ldr_load_pw_line [28] 0.00 0.00 6/7 fmt_self_test [20] [147] 0.0 0.00 0.00 7 fmt_default_binary [147] ----------------------------------------------- 0.00 0.00 1/7 ldr_load_pw_line [28] 0.00 0.00 6/7 fmt_self_test [20] [148] 0.0 0.00 0.00 7 fmt_default_binary_hash [148] ----------------------------------------------- 0.00 0.00 1/7 ldr_load_pw_line [28] 0.00 0.00 6/7 fmt_self_test [20] [149] 0.0 0.00 0.00 7 fmt_default_salt_hash [149] ----------------------------------------------- 0.00 0.00 7/7 rules_init [48] [150] 0.0 0.00 0.00 7 rules_init_conv [150] 0.00 0.00 7/37260 mem_alloc_tiny_func [66] ----------------------------------------------- 0.00 0.00 7/7 sevenzip_kdf [7] [151] 0.0 0.00 0.00 7 strlen16 [151] ----------------------------------------------- 0.00 0.00 6/6 fmt_self_test [20] [152] 0.0 0.00 0.00 6 alloc_binary [152] 0.00 0.00 6/110 mem_alloc_func [107] ----------------------------------------------- 0.00 0.00 6/6 fmt_self_test [20] [153] 0.0 0.00 0.00 6 cmp_exact [153] ----------------------------------------------- 0.00 0.00 6/6 fmt_self_test [20] [154] 0.0 0.00 0.00 6 cmp_one [154] ----------------------------------------------- 0.00 0.00 6/6 fmt_self_test [20] [155] 0.0 0.00 0.00 6 dyna_salt_cmp [155] ----------------------------------------------- 0.00 0.00 6/6 fmt_self_test [20] [156] 0.0 0.00 0.00 6 fmt_default_get_hash [156] ----------------------------------------------- 0.00 0.00 6/6 fmt_self_test [20] [157] 0.0 0.00 0.00 6 fmt_default_source [157] ----------------------------------------------- 0.00 0.00 1/5 sig_init [58] 0.00 0.00 4/5 status_init [46] [158] 0.0 0.00 0.00 5 clk_tck_init [158] ----------------------------------------------- 0.00 0.00 1/4 valid [103] 0.00 0.00 3/4 LoadOneFormat [40] [159] 0.0 0.00 0.00 4 base64_valid_length [159] 0.00 0.00 1/2 common_init [171] 0.00 0.00 1/1 setup_mime [299] ----------------------------------------------- 0.00 0.00 4/4 log_done [184] [160] 0.0 0.00 0.00 4 log_file_done [160] 0.00 0.00 2/64 MEMDBG_off_free [113] 0.00 0.00 2/118 log_file_fsync [105] ----------------------------------------------- 0.00 0.00 1/4 do_single_crack [24] 0.00 0.00 1/4 do_wordlist_crack [4] 0.00 0.00 2/4 rec_init [190] [161] 0.0 0.00 0.00 4 rec_done [161] 0.00 0.00 2/57 rec_save [116] ----------------------------------------------- 0.00 0.00 3/3 valid [103] [162] 0.0 0.00 0.00 3 RemoveHEX [162] ----------------------------------------------- 0.00 0.00 1/3 john_done [240] 0.00 0.00 1/3 read_file [27] 0.00 0.00 1/3 sig_handle_abort [9] [163] 0.0 0.00 0.00 3 check_abort [163] 0.00 0.00 1/2 tty_done [196] ----------------------------------------------- 0.00 0.00 1/3 john_load_conf [47] 0.00 0.00 2/3 ldr_set_encoding [56] [164] 0.0 0.00 0.00 3 cp_name2id [164] ----------------------------------------------- 0.00 0.00 1/3 fmt_self_test [20] 0.00 0.00 1/3 read_file [27] 0.00 0.00 1/3 ldr_load_pw_line [28] [165] 0.0 0.00 0.00 3 dyna_salt_init [165] ----------------------------------------------- 0.00 0.00 1/3 ldr_init_database [246] 0.00 0.00 2/3 ldr_load_pw_line [28] [166] 0.0 0.00 0.00 3 mem_alloc_copy_func [166] 0.00 0.00 3/37260 mem_alloc_tiny_func [66] ----------------------------------------------- 0.00 0.00 3/3 rules_init [48] [167] 0.0 0.00 0.00 3 userclass_expand [167] 0.00 0.00 3/23204 str_alloc_copy_func [68] ----------------------------------------------- 0.00 0.00 3/3 ldr_split_line [29] [168] 0.0 0.00 0.00 3 valid [168] ----------------------------------------------- 0.00 0.00 2/2 Convert.constprop.5 [219] [169] 0.0 0.00 0.00 2 base64_convert [169] ----------------------------------------------- 0.00 0.00 1/2 chap_prepare [224] 0.00 0.00 1/2 chap_valid [225] [170] 0.0 0.00 0.00 2 chap_valid_long [170] ----------------------------------------------- 0.00 0.00 1/2 base64_valid_length [159] 0.00 0.00 1/2 john_init [12] [171] 0.0 0.00 0.00 2 common_init [171] ----------------------------------------------- 0.00 0.00 2/2 crk_init [22] [172] 0.0 0.00 0.00 2 crk_init_salt [172] 0.00 0.00 2/8 set_salt [142] ----------------------------------------------- 0.00 0.00 2/2 ldr_split_line [29] [173] 0.0 0.00 0.00 2 crypt_128 [173] ----------------------------------------------- 0.00 0.00 2/2 ldr_split_line [29] [174] 0.0 0.00 0.00 2 crypt_128 [174] ----------------------------------------------- 0.00 0.00 2/2 ldr_split_line [29] [175] 0.0 0.00 0.00 2 crypt_128_4 [175] ----------------------------------------------- 0.00 0.00 2/2 ldr_split_line [29] [176] 0.0 0.00 0.00 2 crypt_512 [176] ----------------------------------------------- 0.00 0.00 1/2 prepare [272] 0.00 0.00 1/2 prepare [274] [177] 0.0 0.00 0.00 2 enc_strupper [177] 0.00 0.00 2/2 enc_uc [178] ----------------------------------------------- 0.00 0.00 2/2 enc_strupper [177] [178] 0.0 0.00 0.00 2 enc_uc [178] ----------------------------------------------- 0.00 0.00 1/2 fmt_self_test [20] 0.00 0.00 1/2 john_run [2] [179] 0.0 0.00 0.00 2 fmt_default_reset [179] ----------------------------------------------- 0.00 0.00 2/2 rec_save [116] [180] 0.0 0.00 0.00 2 get_progress [180] ----------------------------------------------- 0.00 0.00 1/2 john_load_conf [47] 0.00 0.00 1/2 ldr_set_encoding [56] [181] 0.0 0.00 0.00 2 initUnicode [181] ----------------------------------------------- 0.00 0.00 2/2 john_load [25] [182] 0.0 0.00 0.00 2 john_loaded_counts [182] ----------------------------------------------- 0.00 0.00 2/2 ldr_split_line [29] [183] 0.0 0.00 0.00 2 ldr_show_pot_line [183] ----------------------------------------------- 0.00 0.00 1/2 john_done [240] 0.00 0.00 1/2 real_error [296] [184] 0.0 0.00 0.00 2 log_done [184] 0.00 0.00 4/4 log_file_done [160] ----------------------------------------------- 0.00 0.00 2/2 log_init [50] [185] 0.0 0.00 0.00 2 log_file_init.constprop.1 [185] 0.00 0.00 4/1622 path_expand [80] 0.00 0.00 2/110 mem_alloc_func [107] ----------------------------------------------- 0.00 0.00 2/2 init [60] [186] 0.0 0.00 0.00 2 mem_calloc_func [186] 0.00 0.00 2/110 mem_alloc_func [107] ----------------------------------------------- 0.00 0.00 1/2 john_done [240] 0.00 0.00 1/2 rec_restore_mode [192] [187] 0.0 0.00 0.00 2 path_done [187] 0.00 0.00 1/64 MEMDBG_off_free [113] 0.00 0.00 1/1 path_session [265] ----------------------------------------------- 0.00 0.00 2/2 ldr_split_line [29] [188] 0.0 0.00 0.00 2 prepare [188] ----------------------------------------------- 0.00 0.00 2/2 ldr_split_line [29] [189] 0.0 0.00 0.00 2 prepare [189] ----------------------------------------------- 0.00 0.00 1/2 do_single_crack [24] 0.00 0.00 1/2 do_wordlist_crack [4] [190] 0.0 0.00 0.00 2 rec_init [190] 0.00 0.00 2/4 rec_done [161] 0.00 0.00 2/1622 path_expand [80] 0.00 0.00 2/2 rec_lock [191] ----------------------------------------------- 0.00 0.00 2/2 rec_init [190] [191] 0.0 0.00 0.00 2 rec_lock [191] ----------------------------------------------- 0.00 0.00 1/2 do_single_crack [24] 0.00 0.00 1/2 do_wordlist_crack [4] [192] 0.0 0.00 0.00 2 rec_restore_mode [192] 0.00 0.00 1/2 path_done [187] ----------------------------------------------- 0.00 0.00 2/2 rec_save [116] [193] 0.0 0.00 0.00 2 save_state [193] ----------------------------------------------- 0.00 0.00 1/2 sig_handle_abort [9] 0.00 0.00 1/2 sig_init [58] [194] 0.0 0.00 0.00 2 sig_install_abort [194] ----------------------------------------------- 0.00 0.00 2/2 do_single_crack [24] [195] 0.0 0.00 0.00 2 single_alloc_keys [195] 0.00 0.00 4/37260 mem_alloc_tiny_func [66] ----------------------------------------------- 0.00 0.00 1/2 john_run [2] 0.00 0.00 1/2 check_abort [163] [196] 0.0 0.00 0.00 2 tty_done [196] ----------------------------------------------- 0.00 0.00 1/2 prepare [272] 0.00 0.00 1/2 ldr_split_line [29] [197] 0.0 0.00 0.00 2 valid [197] ----------------------------------------------- 0.00 0.00 1/2 prepare [274] 0.00 0.00 1/2 ldr_split_line [29] [198] 0.0 0.00 0.00 2 valid [198] ----------------------------------------------- 0.00 0.00 1/2 prepare [276] 0.00 0.00 1/2 ldr_split_line [29] [199] 0.0 0.00 0.00 2 valid [199] ----------------------------------------------- 0.00 0.00 2/2 ldr_split_line [29] [200] 0.0 0.00 0.00 2 valid [200] ----------------------------------------------- 0.00 0.00 2/2 ldr_split_line [29] [201] 0.0 0.00 0.00 2 valid [201] ----------------------------------------------- 0.00 0.00 1/2 prepare [281] 0.00 0.00 1/2 ldr_split_line [29] [202] 0.0 0.00 0.00 2 valid [202] 0.00 0.00 1/1 get_salt [236] ----------------------------------------------- 0.00 0.00 1/2 prepare [282] 0.00 0.00 1/2 ldr_split_line [29] [203] 0.0 0.00 0.00 2 valid [203] 0.00 0.00 1/1 get_salt [237] ----------------------------------------------- 0.00 0.00 1/2 prepare [283] 0.00 0.00 1/2 ldr_split_line [29] [204] 0.0 0.00 0.00 2 valid [204] ----------------------------------------------- 0.00 0.00 1/2 prepare [284] 0.00 0.00 1/2 ldr_split_line [29] [205] 0.0 0.00 0.00 2 valid [205] ----------------------------------------------- 0.00 0.00 1/2 prepare [291] 0.00 0.00 1/2 valid [424] [206] 0.0 0.00 0.00 2 valid_cisco [206] ----------------------------------------------- 0.00 0.00 1/2 prepare [292] 0.00 0.00 1/2 valid [425] [207] 0.0 0.00 0.00 2 valid_cisco [207] ----------------------------------------------- 0.00 0.00 1/2 valid [322] 0.00 0.00 1/2 prepare [270] [208] 0.0 0.00 0.00 2 valid_long [208] ----------------------------------------------- 0.00 0.00 1/2 valid_truecrypt [466] 0.00 0.00 1/2 ldr_split_line [29] [209] 0.0 0.00 0.00 2 valid_ripemd160 [209] ----------------------------------------------- 0.00 0.00 1/2 valid_truecrypt [466] 0.00 0.00 1/2 ldr_split_line [29] [210] 0.0 0.00 0.00 2 valid_sha512 [210] ----------------------------------------------- 0.00 0.00 1/2 valid_truecrypt [466] 0.00 0.00 1/2 ldr_split_line [29] [211] 0.0 0.00 0.00 2 valid_whirlpool [211] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [212] 0.0 0.00 0.00 1 BF_common_valid [212] ----------------------------------------------- 1 Convert.constprop.3 [213] 0.00 0.00 1/1 mediawiki_valid [251] [213] 0.0 0.00 0.00 1+1 Convert.constprop.3 [213] 0.00 0.00 1/12 text_in_dynamic_format_already [130] 0.00 0.00 1/11 dynamic_THIN_FORMAT_LINK [132] 1 Convert.constprop.3 [213] ----------------------------------------------- 1 Convert.constprop.4 [214] 0.00 0.00 1/1 our_prepare [261] [214] 0.0 0.00 0.00 1+1 Convert.constprop.4 [214] 0.00 0.00 1/12 text_in_dynamic_format_already [130] 0.00 0.00 1/11 dynamic_THIN_FORMAT_LINK [132] 1 Convert.constprop.4 [214] ----------------------------------------------- 1 Convert.constprop.4 [215] 0.00 0.00 1/1 our_prepare [262] [215] 0.0 0.00 0.00 1+1 Convert.constprop.4 [215] 0.00 0.00 1/12 text_in_dynamic_format_already [130] 0.00 0.00 1/11 dynamic_THIN_FORMAT_LINK [132] 1 Convert.constprop.4 [215] ----------------------------------------------- 1 Convert.constprop.4 [216] 0.00 0.00 1/1 osc_valid [260] [216] 0.0 0.00 0.00 1+1 Convert.constprop.4 [216] 0.00 0.00 1/12 text_in_dynamic_format_already [130] 0.00 0.00 1/11 dynamic_THIN_FORMAT_LINK [132] 1 Convert.constprop.4 [216] ----------------------------------------------- 1 Convert.constprop.4 [217] 0.00 0.00 1/1 phpassmd5_valid [266] [217] 0.0 0.00 0.00 1+1 Convert.constprop.4 [217] 0.00 0.00 1/12 text_in_dynamic_format_already [130] 0.00 0.00 1/11 dynamic_THIN_FORMAT_LINK [132] 1 Convert.constprop.4 [217] ----------------------------------------------- 1 Convert.constprop.4 [218] 0.00 0.00 1/1 valid [403] [218] 0.0 0.00 0.00 1+1 Convert.constprop.4 [218] 0.00 0.00 1/12 text_in_dynamic_format_already [130] 0.00 0.00 1/11 dynamic_THIN_FORMAT_LINK [132] 1 Convert.constprop.4 [218] ----------------------------------------------- 1 Convert.constprop.5 [219] 0.00 0.00 1/1 our_valid [263] [219] 0.0 0.00 0.00 1+1 Convert.constprop.5 [219] 0.00 0.00 2/2 base64_convert [169] 0.00 0.00 1/12 text_in_dynamic_format_already [130] 0.00 0.00 1/11 dynamic_THIN_FORMAT_LINK [132] 1 Convert.constprop.5 [219] ----------------------------------------------- 1 Convert.constprop.5 [220] 0.00 0.00 1/1 phps_valid [267] [220] 0.0 0.00 0.00 1+1 Convert.constprop.5 [220] 0.00 0.00 1/12 text_in_dynamic_format_already [130] 0.00 0.00 1/11 dynamic_THIN_FORMAT_LINK [132] 1 Convert.constprop.5 [220] ----------------------------------------------- 0.00 0.00 1/1 crk_done [227] [221] 0.0 0.00 0.00 1 c_cleanup [221] 0.00 0.00 1/1 c_free_ident [223] 0.00 0.00 1/1 c_free_fixup [222] ----------------------------------------------- 0.00 0.00 1/1 c_cleanup [221] [222] 0.0 0.00 0.00 1 c_free_fixup [222] ----------------------------------------------- 0.00 0.00 1/1 c_cleanup [221] [223] 0.0 0.00 0.00 1 c_free_ident [223] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [224] 0.0 0.00 0.00 1 chap_prepare [224] 0.00 0.00 1/2 chap_valid_long [170] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [225] 0.0 0.00 0.00 1 chap_valid [225] 0.00 0.00 1/1 chap_valid_short [226] 0.00 0.00 1/2 chap_valid_long [170] ----------------------------------------------- 0.00 0.00 1/1 chap_valid [225] [226] 0.0 0.00 0.00 1 chap_valid_short [226] ----------------------------------------------- 0.00 0.00 1/1 do_wordlist_crack [4] [227] 0.0 0.00 0.00 1 crk_done [227] 0.00 0.00 1/1 c_cleanup [221] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [228] 0.0 0.00 0.00 1 crypt_all [228] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [229] 0.0 0.00 0.00 1 cryptmd5_common_valid [229] ----------------------------------------------- 0.00 0.00 1/1 fmt_done [232] [230] 0.0 0.00 0.00 1 done [230] 0.00 0.00 2/64 MEMDBG_off_free [113] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [231] 0.0 0.00 0.00 1 encfs_common_valid [231] ----------------------------------------------- 0.00 0.00 1/1 john_done [240] [232] 0.0 0.00 0.00 1 fmt_done [232] 0.00 0.00 1/1 done [230] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [233] 0.0 0.00 0.00 1 formspring_valid [233] ----------------------------------------------- 0.00 0.00 1/1 get_salt [236] [234] 0.0 0.00 0.00 1 get_binary [234] 0.00 0.00 1/12 text_in_dynamic_format_already [130] ----------------------------------------------- 0.00 0.00 1/1 get_salt [237] [235] 0.0 0.00 0.00 1 get_binary [235] 0.00 0.00 1/12 text_in_dynamic_format_already [130] ----------------------------------------------- 0.00 0.00 1/1 valid [202] [236] 0.0 0.00 0.00 1 get_salt [236] 0.00 0.00 2/37260 mem_alloc_tiny_func [66] 0.00 0.00 1/1 get_binary [234] 0.00 0.00 1/11 dynamic_THIN_FORMAT_LINK [132] ----------------------------------------------- 0.00 0.00 1/1 valid [203] [237] 0.0 0.00 0.00 1 get_salt [237] 0.00 0.00 2/37260 mem_alloc_tiny_func [66] 0.00 0.00 1/1 get_binary [235] 0.00 0.00 1/11 dynamic_THIN_FORMAT_LINK [132] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [238] 0.0 0.00 0.00 1 hmailserver_valid [238] ----------------------------------------------- 0.00 0.00 1/1 ldr_load_pw_line [28] [239] 0.0 0.00 0.00 1 iteration_count [239] ----------------------------------------------- 0.00 0.00 1/1 main [1] [240] 0.0 0.00 0.00 1 john_done [240] 0.00 0.00 1/74 log_event [109] 0.00 0.00 1/2 path_done [187] 0.00 0.00 1/2 log_done [184] 0.00 0.00 1/1 fmt_done [232] 0.00 0.00 1/1 real_error [296] 0.00 0.00 1/3 check_abort [163] ----------------------------------------------- 0.00 0.00 1/1 john_init [12] [241] 0.0 0.00 0.00 1 john_omp_init [241] ----------------------------------------------- 0.00 0.00 1/1 john_init [12] [242] 0.0 0.00 0.00 1 john_omp_maybe_adjust_or_fallback [242] ----------------------------------------------- 0.00 0.00 1/1 john_load [25] [243] 0.0 0.00 0.00 1 john_omp_show_info [243] ----------------------------------------------- 0.00 0.00 1/1 ldr_load_pw_line [28] [244] 0.0 0.00 0.00 1 ldr_conv [244] ----------------------------------------------- 0.00 0.00 1/1 john_load [25] [245] 0.0 0.00 0.00 1 ldr_fix_database [245] 0.00 0.00 2/64 MEMDBG_off_free [113] ----------------------------------------------- 0.00 0.00 1/1 john_load [25] [246] 0.0 0.00 0.00 1 ldr_init_database [246] 0.00 0.00 1/3 mem_alloc_copy_func [166] 0.00 0.00 1/110 mem_alloc_func [107] 0.00 0.00 1/8 list_init [141] ----------------------------------------------- 0.00 0.00 1/1 john_load [25] [247] 0.0 0.00 0.00 1 ldr_load_pot_file [247] ----------------------------------------------- 0.00 0.00 1/1 ldr_load_pw_line [28] [248] 0.0 0.00 0.00 1 ldr_split_string [248] ----------------------------------------------- 0.00 0.00 1/1 opt_process [259] [249] 0.0 0.00 0.00 1 list_add [249] 0.00 0.00 1/37260 mem_alloc_tiny_func [66] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [250] 0.0 0.00 0.00 1 lotus85_valid [250] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [251] 0.0 0.00 0.00 1 mediawiki_valid [251] 0.00 0.00 1/1 Convert.constprop.3 [213] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [252] 0.0 0.00 0.00 1 ms_office_common_valid_all [252] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [253] 0.0 0.00 0.00 1 mscash2_prepare [253] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [254] 0.0 0.00 0.00 1 mscash2_valid [254] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [255] 0.0 0.00 0.00 1 ntlm_prepare [255] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [256] 0.0 0.00 0.00 1 ntlm_valid [256] ----------------------------------------------- 0.00 0.00 1/1 opt_init [258] [257] 0.0 0.00 0.00 1 opt_check [257] ----------------------------------------------- 0.00 0.00 1/1 john_init [12] [258] 0.0 0.00 0.00 1 opt_init [258] 0.00 0.00 5/8 list_init [141] 0.00 0.00 1/1 opt_process [259] 0.00 0.00 1/1 opt_check [257] 0.00 0.00 1/1 regen_lost_salt_parse_options [297] ----------------------------------------------- 0.00 0.00 1/1 opt_init [258] [259] 0.0 0.00 0.00 1 opt_process [259] 0.00 0.00 1/1 list_add [249] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [260] 0.0 0.00 0.00 1 osc_valid [260] 0.00 0.00 1/1 Convert.constprop.4 [216] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [261] 0.0 0.00 0.00 1 our_prepare [261] 0.00 0.00 1/1 Convert.constprop.4 [214] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [262] 0.0 0.00 0.00 1 our_prepare [262] 0.00 0.00 1/1 Convert.constprop.4 [215] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [263] 0.0 0.00 0.00 1 our_valid [263] 0.00 0.00 1/12 text_in_dynamic_format_already [130] 0.00 0.00 1/1 Convert.constprop.5 [219] ----------------------------------------------- 0.00 0.00 1/1 john_init [12] [264] 0.0 0.00 0.00 1 path_init [264] 0.00 0.00 1/110 mem_alloc_func [107] ----------------------------------------------- 0.00 0.00 1/1 path_done [187] [265] 0.0 0.00 0.00 1 path_session [265] 0.00 0.00 1/37260 mem_alloc_tiny_func [66] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [266] 0.0 0.00 0.00 1 phpassmd5_valid [266] 0.00 0.00 1/1 Convert.constprop.4 [217] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [267] 0.0 0.00 0.00 1 phps_valid [267] 0.00 0.00 1/1 Convert.constprop.5 [220] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [268] 0.0 0.00 0.00 1 prepare [268] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [269] 0.0 0.00 0.00 1 prepare [269] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [270] 0.0 0.00 0.00 1 prepare [270] 0.00 0.00 1/2 valid_long [208] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [271] 0.0 0.00 0.00 1 prepare [271] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [272] 0.0 0.00 0.00 1 prepare [272] 0.00 0.00 2/110 mem_alloc_func [107] 0.00 0.00 2/64 MEMDBG_off_free [113] 0.00 0.00 1/2 valid [197] 0.00 0.00 1/2 enc_strupper [177] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [273] 0.0 0.00 0.00 1 prepare [273] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [274] 0.0 0.00 0.00 1 prepare [274] 0.00 0.00 2/110 mem_alloc_func [107] 0.00 0.00 2/64 MEMDBG_off_free [113] 0.00 0.00 1/2 valid [198] 0.00 0.00 1/2 enc_strupper [177] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [275] 0.0 0.00 0.00 1 prepare [275] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [276] 0.0 0.00 0.00 1 prepare [276] 0.00 0.00 1/2 valid [199] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [277] 0.0 0.00 0.00 1 prepare [277] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [278] 0.0 0.00 0.00 1 prepare [278] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [279] 0.0 0.00 0.00 1 prepare [279] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [280] 0.0 0.00 0.00 1 prepare [280] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [281] 0.0 0.00 0.00 1 prepare [281] 0.00 0.00 1/125 valid [103] 0.00 0.00 1/2 valid [202] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [282] 0.0 0.00 0.00 1 prepare [282] 0.00 0.00 1/125 valid [103] 0.00 0.00 1/2 valid [203] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [283] 0.0 0.00 0.00 1 prepare [283] 0.00 0.00 1/2 valid [204] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [284] 0.0 0.00 0.00 1 prepare [284] 0.00 0.00 1/110 mem_alloc_func [107] 0.00 0.00 1/2 valid [205] 0.00 0.00 1/64 MEMDBG_off_free [113] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [285] 0.0 0.00 0.00 1 prepare [285] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [286] 0.0 0.00 0.00 1 prepare [286] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [287] 0.0 0.00 0.00 1 prepare [287] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [288] 0.0 0.00 0.00 1 prepare [288] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [289] 0.0 0.00 0.00 1 prepare [289] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [290] 0.0 0.00 0.00 1 prepare [290] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [291] 0.0 0.00 0.00 1 prepare [291] 0.00 0.00 1/2 valid_cisco [206] 0.00 0.00 1/55 mem_calloc_tiny_func [118] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [292] 0.0 0.00 0.00 1 prepare [292] 0.00 0.00 1/2 valid_cisco [207] 0.00 0.00 1/55 mem_calloc_tiny_func [118] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [293] 0.0 0.00 0.00 1 prepare [293] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [294] 0.0 0.00 0.00 1 prepare [294] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [295] 0.0 0.00 0.00 1 prepare_xsha512 [295] ----------------------------------------------- 0.00 0.00 1/1 john_done [240] [296] 0.0 0.00 0.00 1 real_error [296] 0.00 0.00 1/2 log_done [184] 0.00 0.00 1/74 log_event [109] ----------------------------------------------- 0.00 0.00 1/1 opt_init [258] [297] 0.0 0.00 0.00 1 regen_lost_salt_parse_options [297] ----------------------------------------------- 0.00 0.00 1/1 john_register_all [15] [298] 0.0 0.00 0.00 1 register_dlls [298] 0.00 0.00 1/8 list_init [141] ----------------------------------------------- 0.00 0.00 1/1 base64_valid_length [159] [299] 0.0 0.00 0.00 1 setup_mime [299] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [300] 0.0 0.00 0.00 1 sha1_fmt_valid [300] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [301] 0.0 0.00 0.00 1 sha1crypt_common_valid [301] ----------------------------------------------- 0.00 0.00 1/1 sig_init [58] [302] 0.0 0.00 0.00 1 sig_init_timer [302] ----------------------------------------------- 0.00 0.00 1/1 main [1] [303] 0.0 0.00 0.00 1 sig_preinit [303] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [304] 0.0 0.00 0.00 1 skey_valid [304] 0.00 0.00 1/1503 strnzcpy [81] ----------------------------------------------- 0.00 0.00 1/1 john_run [2] [305] 0.0 0.00 0.00 1 tty_init [305] ----------------------------------------------- 0.00 0.00 1/1 valid [446] [306] 0.0 0.00 0.00 1 uaf_init [306] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [307] 0.0 0.00 0.00 1 valid [307] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [308] 0.0 0.00 0.00 1 valid [308] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [309] 0.0 0.00 0.00 1 valid [309] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [310] 0.0 0.00 0.00 1 valid [310] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [311] 0.0 0.00 0.00 1 valid [311] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [312] 0.0 0.00 0.00 1 valid [312] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [313] 0.0 0.00 0.00 1 valid [313] ----------------------------------------------- 0.00 0.00 1/1 ldr_split_line [29] [314] 0.0 0.00 0.00 1 valid [314] This table describes the call tree of the program, and was sorted by the total amount of time spent in each function and its children. Each entry in this table consists of several lines. The line with the index number at the left hand margin lists the current function. The lines above it list the functions that called this function, and the lines below it list the functions this one called. This line lists: index A unique number given to each element of the table. Index numbers are sorted numerically. The index number is printed next to every function name so it is easier to look up where the function is in the table. % time This is the percentage of the `total' time that was spent in this function and its children. Note that due to different viewpoints, functions excluded by options, etc, these numbers will NOT add up to 100%. self This is the total amount of time spent in this function. children This is the total amount of time propagated into this function by its children. called This is the number of times the function was called. If the function called itself recursively, the number only includes non-recursive calls, and is followed by a `+' and the number of recursive calls. name The name of the current function. The index number is printed after it. If the function is a member of a cycle, the cycle number is printed between the function's name and the index number. For the function's parents, the fields have the following meanings: self This is the amount of time that was propagated directly from the function into this parent. children This is the amount of time that was propagated from the function's children into this parent. called This is the number of times this parent called the function `/' the total number of times the function was called. Recursive calls to the function are not included in the number after the `/'. name This is the name of the parent. The parent's index number is printed after it. If the parent is a member of a cycle, the cycle number is printed between the name and the index number. If the parents of the function cannot be determined, the word `' is printed in the `name' field, and all the other fields are blank. For the function's children, the fields have the following meanings: self This is the amount of time that was propagated directly from the child into the function. children This is the amount of time that was propagated from the child's children to the function. called This is the number of times the function called this child `/' the total number of times the child was called. Recursive calls by the child are not listed in the number after the `/'. name This is the name of the child. The child's index number is printed after it. If the child is a member of a cycle, the cycle number is printed between the name and the index number. If there are any cycles (circles) in the call graph, there is an entry for the cycle-as-a-whole. This entry shows who called the cycle (as parents) and the members of the cycle (as children.) The `+' recursive calls entry shows the number of function calls that were internal to the cycle, and the calls entry for each member shows, for that member, how many times it was called from other members of the cycle.